【24h】

PyHDL: Hardware Scripting with Python

机译:PyHDL:使用Python进行硬件脚本编写

获取原文
获取原文并翻译 | 示例
获取外文期刊封面目录资料

摘要

The Python Hardware Description Language (PyHDL) provides a scripting interface to object-oriented hardware design in C++. PyHDL uses the PamDC and PAM-Blox libraries to generate FPGA circuits. The main advantage of scripting languages is a reduction in development time for high-level designs. Scripting on lower levels, such as the gate level, results in large slowdowns due to the increased complexities involved. We therefore propose a two-step approach: first, use scripting to explore effects of composition andparameterisation; second, convert the scripted designs into compiled components for performance. Our results show that, for small designs, our method offers 5 to 7 times improvement in turnaround time. For a large FIR filter with 90 coefficients, our method offers respectively 216% and 12.5% improvement in turnaround time over purely scripting and purely compiled methods.
机译:Python硬件描述语言(PyHDL)为C ++中的面向对象的硬件设计提供了脚本接口。 PyHDL使用PamDC和PAM-Blox库生成FPGA电路。脚本语言的主要优点是减少了高级设计的开发时间。由于所涉及的复杂性增加,在较低级别(例如门级别)上编写脚本会导致速度大幅下降。因此,我们提出了一个两步法:首先,使用脚本来探索合成和参数化的影响;第二,将脚本设计转换为编译组件以提高性能。我们的结果表明,对于小型设计,我们的方法可将周转时间缩短5至7倍。对于具有90个系数的大型FIR滤波器,我们的方法与纯脚本方法和纯编译方法相比,周转时间分别缩短了216%和12.5%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号