首页> 外文会议>Extreme ultraviolet (EUV) lithography VIII >Actinic Review of EUV Masks: Performance Data and Status of the AIMS~(™) EUV System
【24h】

Actinic Review of EUV Masks: Performance Data and Status of the AIMS~(™) EUV System

机译:EUV掩模的光化检查:AIMS〜(™)EUV系统的性能数据和状态

获取原文
获取原文并翻译 | 示例

摘要

The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed such an EUV aerial image metrology system, the AIMS~(™) EUV, with the prototype tool regularly being used for customer measurement campaigns and the first system shipped to customer end of last year. In this paper, we provide an update on the system performance and present quantitative measurements of the impact of mask surface roughness on the aerial image. We show that an increasing amount of effects is only visible in actinic aerial imaging and discuss potential benefits of aerial image based mask qualification.
机译:EUV掩模基础设施对于将EUV光刻成功引入批量生产至关重要。特别地,为了生产无缺陷的掩模,需要对潜在的缺陷部位进行光化检查。蔡司和SUNY POLY SEMATECH EUVL掩模基础设施联盟开发了这样的EUV航空影像计量系统AIMS〜(TM)EUV,其原型工具经常用于客户测量活动,并且第一套系统于去年年底交付客户。在本文中,我们提供了系统性能的更新,并提出了掩模表面粗糙度对航拍图像影响的定量测量。我们显示出越来越多的效果仅在光化航拍成像中可见,并讨论了基于航拍图像的掩模鉴定的潜在好处。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号