首页> 外文会议>2015 International Conference on Parallel Architecture and Compilation >Decoupled Direct Memory Access: Isolating CPU and IO Traffic by Leveraging a Dual-Data-Port DRAM
【24h】

Decoupled Direct Memory Access: Isolating CPU and IO Traffic by Leveraging a Dual-Data-Port DRAM

机译:解耦的直接内存访问:通过利用双数据端口DRAM隔离CPU和IO流量

获取原文
获取原文并翻译 | 示例

摘要

Memory channel contention is a critical performance bottleneck in modern systems that have highly parallelized processing units operating on large data sets. The memory channel is contended not only by requests from different user applications (CPU access) but also by system requests for peripheral data (IO access), usually controlled by Direct Memory Access (DMA) engines. Our goal, in this work, is to improve system performance byeliminating memory channel contention between CPU accesses and IO accesses. To this end, we propose a hardware-software cooperative data transfer mechanism, Decoupled DMA (DDMA) that provides a specialized low-cost memory channel for IO accesses. In our DDMA design, main memoryhas two independent data channels, of which one is connected to the processor (CPU channel) and the other to the IO devices (IO channel), enabling CPU and IO accesses to be served on different channels. Systemsoftware or the compiler identifies which requests should be handled on the IO channel and communicates this to the DDMA engine, which then initiates the transfers on the IO channel. By doing so, our proposal increasesthe effective memory channel bandwidth, thereby either accelerating data transfers between system components, or providing opportunities to employ IO performance enhancement techniques (e.g., aggressive IO prefetching)without interfering with CPU accessesWe demonstrate the effectiveness of our DDMA framework in two scenarios: (i) CPU-GPU communication and (ii) in-memory communication (bulk datacopy/initialization within the main memory). By effectively decoupling accesses for CPU-GPU communication and in-memory communication from CPU accesses, our DDMA-based design achieves significant performanceimprovement across a wide variety of system configurations (e.g., 20% average performance improvement on a typical 2-channel 2-rank memory system).
机译:在具有在大型数据集上运行的高度并行处理单元的现代系统中,内存通道争用是关键的性能瓶颈。内存通道不仅受到来自不同用户应用程序的请求(CPU访问)的竞争,还受到通常由直接内存访问(DMA)引擎控制的对外围数据的系统请求(IO访问)的竞争。在这项工作中,我们的目标是通过消除CPU访问和IO访问之间的内存通道争用来提高系​​统性能。为此,我们提出了一种硬件-软件协作数据传输机制,即解耦DMA(DDMA),该机制为IO访问提供了专门的低成本存储通道。在我们的DDMA设计中,主存储器具有两个独立的数据通道,其中一个连接到处理器(CPU通道),另一个连接到IO设备(IO通道),从而使CPU和IO访问可以在不同的通道上进行。系统软件或编译器确定应在IO通道上处理哪些请求,并将此请求传达给DDMA引擎,然后DDMA引擎在IO通道上启动传输。通过这样做,我们的建议增加了有效的内存通道带宽,从而加速了系统组件之间的数据传输,或者提供了在不干扰CPU访问的情况下采用IO性能增强技术(例如,积极的IO预取)的机会。我们展示了DDMA框架在两种情况:(i)CPU-GPU通信和(ii)内存中通信(主内存中的批量数据复制/初始化)。通过有效地将CPU-GPU通信和内存中通信的访问与CPU访问解耦,我们基于DDMA的设计在各种系统配置上均实现了显着的性能提升(例如,典型的2通道2列平均性能提高20%)内存系统)。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号