首页> 外文会议>2013 IEEE 31st International Conference on Computer Design >Register allocation and VDD-gating algorithms for out-of-order architectures
【24h】

Register allocation and VDD-gating algorithms for out-of-order architectures

机译:乱序架构的寄存器分配和VDD门控算法

获取原文
获取原文并翻译 | 示例

摘要

Register Files (RF) in modern out-of-order microprocessors can account for up to 30% of total power consumed by the core. The complexity and size of the RF has increased due to the transition from ROB-based to MIPSR10K-style physical register renaming. Because physical registers are dynamically allocated, the RF is not fully occupied during every phase of the application. In this paper, we propose a comprehensive power management strategy of the RF through algorithms for register allocation and register-bank power-gating that are informed by both microarchitecture details and circuit costs. We investigate algorithms to control where to place registers in the RF, when to disable banks in the RF, and when to re-enable these banks. We include detailed circuit models to estimate the cost for banking and power-gating the RF. We are able to save up to 50% of the leakage energy vs. a baseline monolithic RF, and save 11% more leakage energy than fine-grained VDD-gating schemes.
机译:现代乱序微处理器中的寄存器文件(RF)最多可占内核总功耗的30%。由于从基于ROB到MIPSR10K样式的物理寄存器重命名的过渡,RF的复杂性和大小已增加。由于物理寄存器是动态分配的,因此RF在应用的每个阶段都不会完全占用。在本文中,我们通过寄存器分配和寄存器组电源门控算法提出了一种全面的射频功率管理策略,该算法由微体系结构细节和电路成本共同决定。我们研究了用于控制将寄存器放置在RF中的位置,何时禁用RF中的存储体以及何时重新启用这些存储体的算法。我们提供了详细的电路模型,以估算射频存储和门控的成本。与基准单片RF相比,我们能够节省多达50%的泄漏能量,并且比细粒度VDD门控方案节省的泄漏能量多11%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号