首页> 外文会议>Asia and South Pacific Design Automation Conference >Guiding template-aware routing considering redundant via insertion for directed self-assembly
【24h】

Guiding template-aware routing considering redundant via insertion for directed self-assembly

机译:指导模板感知路由,考虑通过插入实现冗余以进行定向自组装

获取原文

摘要

The directed self-assembly (DSA) technology has shown its great potential in via/contact layer fabrication for sub 10-nm technology nodes. To guarantee sufficient overlay accuracy of generated vias, only a few guiding templates with simple shapes are feasible, and thus manufacturable via patterns are limited. In addition, redundant via insertion has become a necessary step in the circuit design flow to improve reliability and yield. However, routing by only considering redundant vias or DSA may either deteriorate the redundant via insertion rate or damage via manufacturability. This paper presents the first work on detailed routing that simultaneously considers guiding template feasibility and redundant via insertion. Since different wiring patterns result in various via patterns and redundant via candidates, we develop a sophisticated routing graph model to avoid generating undesired wiring patterns. A trunk assignment method and several rip-up and rerouting techniques are also proposed for better via planning and layout optimization. Experimental results show that our router can significantly improve the redundant via insertion rate compared to a state-of-the-art DSA-aware detailed router.
机译:定向自组装(DSA)技术已显示出其在10纳米以下技术节点的通孔/接触层制造中的巨大潜力。为了保证所产生的通孔的足够的覆盖精度,仅几个具有简单形状的引导模板是可行的,因此可制造的通孔图案受到限制。另外,冗余通孔插入已成为电路设计流程中提高可靠性和成品率的必要步骤。但是,仅考虑冗余通孔或DSA进行布线可能会降低冗余通孔的插入率,也可能会损坏可制造性。本文介绍了有关详细路由的第一项工作,该工作同时考虑了指导模板的可行性和通过插入的冗余性。由于不同的布线图案会导致各种通孔图案和候选的冗余通孔,因此我们开发了一种复杂的布线图模型,以避免生成不希望的布线图案。为了更好地通过规划和布局优化,还提出了一种干线分配方法以及几种翻录和重新路由技术。实验结果表明,与最先进的DSA感知详细路由器相比,我们的路由器可以通过插入速率显着提高冗余。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号