...
首页> 外文期刊>IEEE transactions on circuits and systems . I , Regular papers >Design Optimization Considering Guiding Template Feasibility and Redundant Via Insertion for Directed Self-Assembly
【24h】

Design Optimization Considering Guiding Template Feasibility and Redundant Via Insertion for Directed Self-Assembly

机译:考虑引导模板可行性和通过插入实现冗余的定向自组装设计优化

获取原文
获取原文并翻译 | 示例
   

获取外文期刊封面封底 >>

       

摘要

While multiple patterning lithography suffers from considerable and increasing mask manufacturing cost, next generation lithography technologies are urgently required for sub-10 nm technology nodes, where directed self-assembly (DSA) is one of the most promising candidates for contact/via layer fabrication. In addition, redundant via insertion is regarded as an important step in the circuit design flow to improve circuit reliability and yield. In this paper, we propose to adopt wire perturbation to further enhance via manufacturability and redundant via insertion rates. In addition, an improved DSA-compliant and redundant via-aware routing graph model is proposed and a systematic via graph update approach is developed to facilitate the implementation of the router. Experimental results demonstrate that compared with a state-of-the-art work, our wire perturbation approach can averagely increase inserted redundant vias by 6% and reduce unmanufacturable vias by 23% with only 0.9% wirelength overhead, and our routing graph model achieves the same performance as the one proposed in a state-of-the-art work and is much more efficient.
机译:尽管多次构图光刻技术遭受了相当大的且增加的掩模制造成本的困扰,但对于低于10 nm的技术节点,迫切需要下一代光刻技术,其中定向自组装(DSA)是接触/通孔层制造的最有希望的候选者之一。另外,冗余通孔插入被认为是电路设计流程中提高电路可靠性和成品率的重要步骤。在本文中,我们建议采用导线扰动来进一步提高通孔的可制造性,并增加通孔的插入率。此外,提出了一种改进的符合DSA且冗余的通孔感知路由图模型,并开发了一种系统的通孔图更新方法来促进路由器的实现。实验结果表明,与最新技术相比,我们的导线扰动方法可以将插入的冗余通孔平均增加6%,将无法制造的通孔平均减少23%,而线长开销仅为0.9%,并且我们的布线图模型可以实现与最新技术中提出的性能相同,并且效率更高。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号