首页> 外国专利> BUS ARBITRATION CIRCUIT IN ROUND-ROBIN SELECTING METHOD

BUS ARBITRATION CIRCUIT IN ROUND-ROBIN SELECTING METHOD

机译:轮循选择法的公交仲裁电路

摘要

A bus arbitration circuit features incorporating bus masters with same priorities for VME bus system, while there was performance degradation caused by bus grant delayed up to 4-olock period upon bus request signal. Thus it minimizes bus arbitration time and its error. The system consists of multiplexer (10) for bus request input, choosing output and interrupting other request, control signal generator (20) for the first and second control signal, counter (30) for data count, decoder (40) to decode counter output and to give selecting signal, the first-fourth bus arbiter (50-80) and gates (91-94) to generate corresponding bus grant signal to request signal by logic combination.
机译:总线仲裁电路的特点是将具有相同优先级的总线主控器集成到VME总线系统中,而由于总线授权会在总线请求信号后最多延迟4个时钟周期而导致性能下降。因此,它将总线仲裁时间及其错误减至最少。该系统包括用于总线请求输入的多路复用器(10),选择输出并中断其他请求,用于第一和第二控制信号的控制信号发生器(20),用于数据计数的计数器(30),用于解码计数器输出的解码器(40)为了提供选择信号,第一至第四总线仲裁器(50-80)和门(91-94)产生相应的总线许可信号,以通过逻辑组合请求信号。

著录项

  • 公开/公告号KR910008418B1

    专利类型

  • 公开/公告日1991-10-15

    原文格式PDF

  • 申请/专利权人 SAM SUNG ELECTRONICS CO.LTD.;

    申请/专利号KR19890009180

  • 发明设计人 LEE HAE-YOUNG;

    申请日1989-06-30

  • 分类号G06F13/20;

  • 国家 KR

  • 入库时间 2022-08-22 05:51:41

相似文献

  • 专利
  • 外文文献
  • 中文文献
获取专利

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号