首页> 外文期刊>電子情報通信学会技術研究報告. VLSI設計技術. VLSI Design Technologies >Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware Trojan
【24h】

Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware Trojan

机译:Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware Trojan

获取原文
获取原文并翻译 | 示例
       

摘要

Outsourcing of IC fabrication components has initiated the potential threat of design tempering using hardware Trojans and also has drawn the attention of government agencies and the semiconductor industry. The added functionality, known as hardware Trojan, poses major detection and isolation challenges. This paper presents a hardware Trojan detection technique that magnifies the detection sensitivity for small Trojan in power-based side-channel analysis. A scan segmentation approach with a modified LOC test pattern application method is proposed so as to maximize dynamic power consumption of any target segment. The proposed architecture allows activating any target segment of scan chain and keeping others freeze which reduces total circuit switching activity. This helps magnify the Trojan's contribution to selected segment by reducing dynamic power consumption. Experimental results for ISCAS89 benchmark circuit demonstrate its effectiveness in side-channel analysis.

著录项

获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号