首页> 外文期刊>IEICE transactions on information and systems >Architecture and Implementation of a Reduced EPIC Processor
【24h】

Architecture and Implementation of a Reduced EPIC Processor

机译:Architecture and Implementation of a Reduced EPIC Processor

获取原文
获取原文并翻译 | 示例
       

摘要

This paper proposes a Reduced Explicitly Parallel Instruction Computing Processor (REPICP) which is an independently designed, 64-bit, general-purpose microprocessor. The REPICP based on EPIC architecture overcomes the disadvantages of hardware-based superscalar and software-based Very Long Instruction Word (VLIW) and utilizes the cooperation of compiler and hardware to enhance Instruction-Level Parallelism (ILP). In REPICP, we propose the Optimized Lock-Step execution Model (OLSM) and instruction control pipeline method. We also propose reduced innovative methods to optimize the design. The REPICP is fabricated in Artisan 0.13μm Nominal 1P8M process with 57M transistors. The die size of the REPICP is 100 mm~2 (10 × 10), and consumes only 12 W power when running at 300 MHz.

著录项

获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号