首页> 外文期刊>Journal of communications technology and electronics >ASMD-FSMD Technique in Designing Signal Processing Devices on Field Programmable Gate Arrays
【24h】

ASMD-FSMD Technique in Designing Signal Processing Devices on Field Programmable Gate Arrays

机译:ASMD-FSMD Technique in Designing Signal Processing Devices on Field Programmable Gate Arrays

获取原文
获取原文并翻译 | 示例
           

摘要

Thealgorithmic state machine with a datapath (ASMD)-finite state machine with a datapath (FSMD) technique for designing digital devices is discussed, in which the operation of a device is described in the form of a block diagram of a state machine with a datapath. Different techniques for designing digital devices are compared by the examples of synchronous multipliers and peripheral interface controller (PIC) processors on field programmable gate arrays (FPGAs). It is shown that the ASMD-FSMD technique, in contrast to the conventional approach, in most cases makes it possible to reduce the sales value (by 47 for particular examples) and significantly enhance the speed (by a factor of 2.96 for particular examples), as well as to essentially shorten the design time (by a factor of 5-7). Recommendations on using the ASMD-FSMD technique are given and possible directions for its further development are pointed.

著录项

获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号