...
首页> 外文期刊>Design Wave Magazine >ステッピング·モータやブラシレスモータ,白色LEDの駆動回路など:モータやLEDを駆動するパワー回路-1個または複数の7セグメントLEDをFPGAから直接駆動する回路
【24h】

ステッピング·モータやブラシレスモータ,白色LEDの駆動回路など:モータやLEDを駆動するパワー回路-1個または複数の7セグメントLEDをFPGAから直接駆動する回路

机译:步进电动机,无刷电机,白色LED驱动电路等:驱动电动机和LED -1或多个7段LED直接从FPGA驱动的电源电路

获取原文
获取原文并翻译 | 示例
           

摘要

最近のCPLD/FPGAの出力は高い駆動能力を備えており,LEDの点灯に必要な5m~20mA程度の電流を供給できます.そこでBCD(Binary Coded Decimal;2進化10進数)を入力として,7セグメントLEDを直接駆動する論理を示します.
机译:最近的CPLD/FPGA输出具有很高的驾驶功能,并且可以提供约5m至20mA的电流电流,而LED所需的电流。 因此,将BCD(二进制编码十进制)作为直接驱动7段LED的逻辑输入。

著录项

相似文献

  • 外文文献
  • 中文文献
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号