首页> 外文期刊>Performance evaluation review >QoS Policies and Architecture for Cache/Memory in CMP Platforms
【24h】

QoS Policies and Architecture for Cache/Memory in CMP Platforms

机译:CMP平台中用于缓存/内存的QoS策略和体系结构

获取原文
获取原文并翻译 | 示例
           

摘要

As we enter the era of CMP platforms with multiple threads/cores on the die, the diversity of the simultaneous workloads running on them is expected to increase. The rapid deployment of virtualization as a means to consolidate workloads on to a single platform is a prime example of this trend. In such scenarios, the quality of service (QoS) that each individual workload gets from the platform can widely vary depending on the behavior of the simultaneously running workloads. While the number of cores assigned to each workload can be controlled, there is no hardware or software support in today's platforms to control allocation of platform resources such as cache space and memory bandwidth to individual workloads. In this paper, we propose a QoS-enabled memory architecture for CMP platforms that addresses this problem. The QoS-enabled memory architecture enables more cache resources (i.e. space) and memory resources (i.e. bandwidth) for high priority applications based on guidance from the operating environment. The architecture also allows dynamic resource reassignment during run-time to further optimize the performance of the high priority application with minimal degradation to low priority. To achieve these goals, we will describe the hardware/software support required in the platform as well as the operating environment (O/S and virtual machine monitor). Our evaluation framework consists of detailed platform simulation models and a QoS-enabled version of Linux. Based on evaluation experiments, we show the effectiveness of a QoS-enabled architecture and summarize key findings/trade-offs.
机译:随着我们进入具有多个线程/内核的CMP平台时代,在它们上运行的同时工作负载的多样性有望增加。快速部署虚拟化作为将工作负载整合到单个平台上的一种方式,就是这种趋势的典型例证。在这种情况下,每个独立工作负载从平台获得的服务质量(QoS)可能会根据同时运行的工作负载的行为而有很大差异。尽管可以控制分配给每个工作负载的核心数量,但是在当今的平台中,没有硬件或软件支持来控制对各个工作负载的平台资源(如缓存空间和内存带宽)的分配。在本文中,我们为CMP平台提出了一种支持QoS的内存架构,以解决此问题。基于操作环境的指导,启用了QoS的内存体系结构为高优先级应用程序启用了更多的缓存资源(即空间)和内存资源(即带宽)。该体系结构还允许在运行时动态分配资源,以进一步优化高优先级应用程序的性能,而对低优先级的降级最小。为了实现这些目标,我们将描述平台所需的硬件/软件支持以及操作环境(O / S和虚拟机监视器)。我们的评估框架包括详细的平台模拟模型和QoS版本的Linux。基于评估实验,我们展示了启用QoS的体系结构的有效性,并总结了主要发现/折衷。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号