...
首页> 外文期刊>Journal of supercomputing >Latency-aware DVFS for efficient power state transitions on many-core architectures
【24h】

Latency-aware DVFS for efficient power state transitions on many-core architectures

机译:延迟感知的DVFS可在多核架构上进行有效的电源状态转换

获取原文
获取原文并翻译 | 示例
           

摘要

Energy efficiency is quickly becoming a first-class design constraint in high-performance computing (HPC). We need more efficient power management solutions to save energy costs and carbon footprint of HPC systems. Dynamic voltage and frequency scaling (DVFS) is a commonly used power management technique for making a trade-off between power consumption and system performance according to the time-varying program behavior. However, prior work on DVFS seldom takes into account the voltage and frequency scaling latencies, which we found to be a crucial factor determining the efficiency of the power management scheme. Frequent power state transitions without latency awareness can make a real impact on the execution performance of applications. The design of multiple voltage domains in some many-core architectures has made the effect of DVFS latencies even more significant. These concerns lead us to propose a new latency-aware DVFS scheme to adjust the optimal power state more accurately. Our main idea is to analyze the latency characteristics in depth and design a novel profile-guided DVFS solution which exploits the varying execution patterns of the parallel program to avoid excessive power state transitions. We implement the solution into a power management library for use by shared-memory parallel applications. Experimental evaluation on the Intel SCC many-core platform shows significant improvement in power efficiency after using our scheme. Compared with a latency-unaware approach, we achieve 24.0 % extra energy saving, 31.3 % more reduction in the energy-delay product and 15.2 % less overhead in execution time in the average case for various benchmarks. Our algorithm is also proved to outperform a prior DVFS approach attempted to mitigate the latency effects.
机译:能源效率正在迅速成为高性能计算(HPC)的一流设计约束。我们需要更高效的电源管理解决方案,以节省HPC系统的能源成本和碳足迹。动态电压和频率缩放(DVFS)是一种常用的电源管理技术,用于根据时变程序行为在功耗和系统性能之间进行权衡。但是,先前关于DVFS的工作很少考虑电压和频率缩放延迟,我们发现这是确定电源管理方案效率的关键因素。没有延迟意识的频繁电源状态转换会真正影响应用程序的执行性能。在某些多核架构中,多个电压域的设计使DVFS延迟的影响更加显着。这些担忧使我们提出了一种新的可感知延迟的DVFS方案,以更准确地调整最佳电源状态。我们的主要思想是深入分析延迟特性,并设计一种新颖的配置文件引导的DVFS解决方案,该解决方案利用并行程序的不同执行模式来避免过多的电源状态转换。我们将解决方案实施到电源管理库中,供共享内存并行应用程序使用。使用我们的方案后,在Intel SCC多核平台上的实验评估表明,电源效率有了显着提高。与无等待时间的方法相比,在各种基准测试的平均情况下,我们节省了24.0%的额外能源,节能产品减少了31.3%,执行时间的开销减少了15.2%。我们的算法也被证明优于尝试减轻延迟影响的DVFS方法。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号