首页> 外文期刊>Journal of Computer and Communications >Twist-Routing Algorithm for Faulty Network-on-Chips
【24h】

Twist-Routing Algorithm for Faulty Network-on-Chips

机译:片上网络故障的扭曲路由算法

获取原文
       

摘要

This paper introduces Twist-routing, a new routing algorithm for faulty on-chip networks, which improves Maze-routing, a face-routing based algorithm which uses deflections in routing, and archives full fault coverage and fast packet delivery. To build Twist-routing algorithm, we use bounding circles, which borrows the idea from GOAFR+ routing algorithm for ad-hoc wireless networks. Unlike Maze-routing, whose path length is unbounded even when the optimal path length is fixed, in Twist-routing, the path length is bounded by the cube of the optimal path length. Our evaluations show that Twist-routing algorithm delivers packets up to 35% faster than Maze-routing with a uniform traffic and Erdos-Rényi failure model, when the failure rate and the injection rate vary.
机译:本文介绍了Twist-routing,一种用于故障片上网络的新路由算法,它改进了基于面路由的Maze-routing算法,该算法在路由中使用了偏转,并存档了完整的故障覆盖范围和快速的数据包传递。为了建立Twist-routing算法,我们使用边界圆,它借鉴了GOAFR +路由算法的思想,用于ad-hoc无线网络。与迷宫式路由不同,迷宫式路由即使在最佳路径长度固定的情况下,其路径长度也不受限制,而在Twist-routing中,路径长度受最佳路径长度的立方限制。我们的评估表明,在故障率和注入率不同的情况下,采用统一流量和Erdos-Rényi故障模型时,Twist-routing算法比Maze-routing传输数据包的速度提高了35%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号