首页> 中文期刊>电子学报 >直接中频采样及数字相干检波的研究

直接中频采样及数字相干检波的研究

     

摘要

在许多雷达、声纳和通信系统中,一般都需要将接收机的中频输出信号变换为正交的两路基带信号,即采用正交I、Q通道处理来进行检波,但传统的正交I、Q通道,由于两路模拟元器件本身不可能做到完全一致,致使两路的正交误差大(2~3℃),幅度一致性差(0.5dB),大大限制了系统整机性能的提高。本文提出了一种新的正交相干检波方法,即直接在中频对信号进行A/D变换,然后利用数字信号处理的办法进行相干检波,得到正交

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号