首页> 外文学位 >Reflective On-chip Resource Management Policies for Energy-efficient Heterogeneous Multiprocessors
【24h】

Reflective On-chip Resource Management Policies for Energy-efficient Heterogeneous Multiprocessors

机译:节能异构多处理器的反射性片上资源管理策略

获取原文
获取原文并翻译 | 示例

摘要

Emerging mobile SoCs are increasingly incorporating heterogeneity in order to provide energy-efficiency while meeting performance requirements. Effective exploitation of power- performance tradeoffs in heterogeneous many-core platforms (HMPs), however, requires intelligent management at different layers, in particular at the operating system level. Operating systems need to continuously analyze the application behavior and find a proper answer for questions such as: What is the most power efficient core type to execute the application without violating its performance requirements? or Which option is more power-efficient for the current application: an out-of-order core at a lower frequency or an inorder core at a higher frequency? Unfortunately, existing operating systems do not offer mechanisms to properly address these questions and therefore are unable to fully exploit architectural heterogeneity for scalable energy-efficient execution of dynamic workloads.;In this thesis we present our vision of a holistic approach for performing resource allocation decisions and power management by leveraging concepts from reflective software. The general idea of reflection is to change your actions based on both external feedback and introspection (i.e., self-assessment). From a system perspective, this translates into performing resource management actuation considering both sensing information (e.g., readings from performance counters, power sensors, etc.) to assess the current system state, as well as models to predict the behavior of the system before performing an action. In this context, this thesis makes the following contributions:;(1) It describes MARS, a Middleware for Adaptive Reflective computer Systems. MARS consists of a toolchain for creating resource managers that allows users to easily compose models and policies that interact in a hierarchy defined by the granularity of the actuations performed in the system. MARS is implemented and evaluated on top a real Linux-based platform. Furthermore, MARS also provides an offline simulation infrastructure for fast prototyping of policies and large-scale or long-term policy evaluation.;(2) It proposes a performance/power modeling approach for HMPs which takes into account the effect of both microarchitecture-level components as well as system-level components such as the operating scheduler.;(3) It proposes a runtime task mapping approach for energy efficient HMPs. Energy efficient task-to-core mapping is done by combining on-chip sensor data and models of the underlying operating systems components implemented within MARS. It achieves average energy reductions of 23% when compared to the state-of-art task mapping techniques, while achieving similar performance.;(4) It proposes aging models to provide reliability-aware task-mapping for mobile HMPs.;These contributions have shown that the extensive use of models to predict how the system will react to actuations is a promising scheme to pave the path towards more energy efficient heterogeneous systems. In this context, a framework which enables reflective resource management is an important building block to tackle the growing complexity of battery- powered devices.
机译:新兴的移动SoC越来越多地包含异构性,以在满足性能要求的同时提供能源效率。但是,要有效利用异构多核平台(HMP)中的功率性能折衷,就需要在不同层(尤其是在操作系统级别)进行智能管理。操作系统需要不断分析应用程序行为,并为以下问题找到合适的答案:在不违反其性能要求的情况下,执行应用程序的最节能的内核类型是什么?或对于当前应用而言,哪个选项更省电:较低频率的无序内核或较高频率的无序内核?不幸的是,现有的操作系​​统没有提供适当解决这些问题的机制,因此无法充分利用体系结构的异质性来实现动态工作负载的可扩展节能高效执行。在本文中,我们提出了一种用于执行资源分配决策的整体方法的愿景。利用反射软件的概念进行电源管理。反思的一般思想是基于外部反馈和内省(即自我评估)来改变您的行为。从系统角度来看,这转化为执行资源管理驱动,既要考虑传感信息(例如,来自性能计数器,功率传感器等的读数)以评估当前系统状态,也要考虑模型来预测执行前的系统行为一种行为。在这一背景下,本论文做出了以下贡献:(1)描述了MARS,一种自适应反射计算机系统的中间件。 MARS由用于创建资源管理器的工具链组成,该工具链使用户可以轻松地组成模型和策略,这些模型和策略在由系统中执行的粒度定义的层次结构中进行交互。 MARS在真正的基于Linux的平台上实现和评估。此外,MARS还提供了离线仿真基础设施,用于快速建立策略原型以及进行大规模或长期的策略评估。(2)提出了一种针对HMP的性能/功耗建模方法,该方法考虑了两个微体系结构级别的影响(3)提出了一种用于节能HMP的运行时任务映射方法。通过将片上传感器数据与MARS中实现的底层操作系统组件的模型相结合,可以实现高效的任务到核心的映射。与最新的任务映射技术相比,它可实现平均23%的能耗降低,同时实现类似的性能。(4)提出了老化模型,为移动HMP提供可靠性感知的任务映射。结果表明,广泛使用模型来预测系统将如何对驱动做出反应,这是一个有前途的方案,可为通向更加节能的异构系统铺平道路。在这种情况下,支持反射资源管理的框架是解决电池供电设备日益复杂的重要基础。

著录项

  • 作者

    Muck, Tiago Rogerio.;

  • 作者单位

    University of California, Irvine.;

  • 授予单位 University of California, Irvine.;
  • 学科 Computer science.
  • 学位 Ph.D.
  • 年度 2018
  • 页码 143 p.
  • 总页数 143
  • 原文格式 PDF
  • 正文语种 eng
  • 中图分类
  • 关键词

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号