首页> 中文学位 >基于FPGA的直接数字式频率合成器DDS的设计
【6h】

基于FPGA的直接数字式频率合成器DDS的设计

代理获取

目录

声明

摘要

1 绪论

1.1 直接数字频率合成器DDS简介

1.1.1 什么是DDS

1.1.2 DDS的应用

1.2 课题的背景及国内外现状

1.2.1 课题的背景

1.2.2 DDS的国内外现状

1.3 课题的内容及设计的目标

1.3.1 课题的内容

1.3.2 课题的设计目标

2 现场可编程门阵列FPGA

2.1 现场可编程门阵列FPGA介绍

2.1.1 FPGA的基本结构、特点及编程工艺

2.1.2 FPGA的选型

2.1.3 FPGA的开发流程

2.2 FPGA的开发语言

2.2.1 VHDL硬件描述语言

2.2.2 VerilogHDL硬件描述语言

2.3 FPGA的开发工具介绍

3 DDS的相关原理及实现方法

3.1 DDS的原理

3.2 DDS的实现方法

4 直接数字式频率合成器DDS模块的设计

4.1 相位累加器模块

4.2 脉冲生成模块

4.3 ROM查找表模块

4.4 DDS的顶层模块

5 设计的仿真与验证

5.1 DDS设计的仿真与验证

5.2 展望

结论

参考文献

致谢

作者简介及读研期间主要科研成果

展开▼

摘要

直接数字频率合成器DDS和数字信号处理器DSP一样,是一种非常重要的现代化数字技术。DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。它一般是要经过数字式的时间转换信号再通过执行数模转换产生正弦波。因为直接数字频率合成器设备上运行的是数字式的信号,所以它可以在输出的频率、正弦波频率的分解以及运行于宽频率频谱之间进行相互转换。和传统的频率合成器件相比较,DDS具有比较低的成本、较低的功耗、较高的分辨率以及转换时间快等许多优点,广泛的应用在电子通信系统以及雷达系统等领域,是一种用来实现设备全数字化的关键性的技术。
   本文第一部分介绍了直接数字频率合成器DDS的国内外现状和课题提出的背景及设计目标。文章的第二部分首先讲述了现场可编程门阵列FPGA的一些基本知识,包括FPGA的基本结构、特点、编程工艺及开发流程。接下来对FPGA的开发语言(VHDL和VerilogHDL)和开发工具做了简单介绍。文章的第三部分在分析了直接数字频率合成器DDS的工作原理及其基本结构的基础上得出了它的实现方法。这部分的重点是如何解决ROM瓶颈受限的问题。文章的第四部分在介绍了DDS的几个组成部分的基础上,通过VerilogHDL硬件描述语言对相位累加器模块、脉冲产生模块、ROM查找表模块及DDS的顶层模块进行了设计及综合。第五部分使用QuartusⅡ软件对所涉及的DDS直接数字频率合成器进行了仿真及验证。
   最后,通过对所设计的直接数字频率合成器DDS仿真验证,实现了直接数字频率合成器DDS的功能。证明了以FPGA为载体的DDS具有开发成本低、功耗低、分辨率高以及转换时间快等优点。论文的最后对该设计所做的工作进行了总结,并做了进一步的展望。使用FPGA实现的DDS应用的目的性强、性能优越,对现代的电子、通信系统来说具有很高的使用价值。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号