首页> 中文学位 >以太网硬件协议栈的研究、实现与应用
【6h】

以太网硬件协议栈的研究、实现与应用

代理获取

目录

文摘

英文文摘

第一章 绪论

1.1 课题背景及研究意义

1.2 以太网技术的发展简史

1.3 国内外研究现状

1.4 论文的内容与组织

第二章 快速以太网的原理介绍

2.1 物理层

2.1.1 介质无关接口(MⅡ)

2.1.2 物理编码子层(PCS)

2.1.3 物理介质连接子层(PMA)

2.1.4 物理介质相关子层(PMD)

2.1.5 自动协商子层(AN)

2.1.6 介质相关接口(MDI)

2.2 链路层

2.2.1 逻辑链路控制子层

2.2.2 介质接入控制子层

2.3 网络层

2.4 传输层

2.4.1 传输控制协议(TCP)

2.4.2 用户数据报协议(UDP)

2.5 应用层

第三章 以太网硬件协议栈的逻辑设计

3.1 逻辑设计方案

3.1.1 协议栈的经典实现方法

3.1.2 设计约束

3.1.3 设计策略

3.1.4 硬件协议栈的设计方案

3.2 实现协议栈的硬件资源

3.2.1 物理层收发器

3.2.2 现场可编程门阵列

3.3 硬件协议栈的逻辑模块

3.3.1 ETH_TOP模块

3.3.2 MⅡ模块

3.3.3 MAC模块

3.3.4 ARP模块

3.3.5 IP模块

3.3.6 UDP模块

3.3.7 RAM模块

3.3.8 数据校验算法

第四章 以太网硬件协议栈的板级验证

4.1 验证方案

4.1.1 验证目的

4.1.2 验证系统的构成

4.2 验证平台的搭建

4.2.1 评估板的电路

4.2.2 硬件协议栈的接口

4.2.3 上何机软件编程

4.2.4 应用层协议

4.2.5 硬件协议栈的调试

4.3 实验过程和结果

4.3.1 实验一:验证ARP功能

4.3.2 实验二:验证UDP/IP功能

4.3.3 实验三:信号采集与数据传输

4.3.4 实验四:传输性能的测试

4.4 性能分析与改善

4.4.1 传输速度

4.4.2 丢包原因与解决办法

第五章 以太网硬件协议栈的应用实例

5.1 安检设备的系统框图

5.2 安检设备的传输要求

5.3 数据传输部分的设计方案

5.3.1 FPGA逻辑设计

5.3.2 数据包格式

第六章 总结和展望

6.1 总结

6.2 展望

致谢

参考文献

附录

攻读硕士学位期间发表的论文

展开▼

摘要

目前,数据采集设备一般通过PCI总线或者USB接口向计算机传输数据。这两种方式虽然能够满足需求,但同时存在着复杂度高和传输距离短的局限性。将以太网技术和FPGA相结合,在FPGA中实现以太网的协议栈,有望为数据采集系统提供一条速度快、距离远、集成度高的数据传输通道。
   论文首先介绍了以太网物理层、链路层、网络层和传输层的原理与协议,然后分析了目标应用的特点,决定在实现以太网硬件协议栈时采取两个策略:一,使用UDP/IP协议;二,收发缓冲区大小不对称。接着,根据设计方案使用Verilog HDL编写了分层实现以太网协议栈的逻辑代码,在数据校验部分设计了适合硬件实现的CRC-32并行算法。
   在板级验证阶段,使用计算机、评估板和信号发生器构建了一个验证平台。通过实验检验了硬件协议栈执行ARP、IP和UDP协议的功能,以及它为数据采集设备通过以太网向上位机传输数据的效果。结果表明,硬件协议栈能够正确地执行ARP、IP和UDP协议,满足数据采集系统的传输要求。实验还测试了在传输速度、共享信道和CPU资源等因素影响下的丢包率,分析了丢包的原因,给出了改善传输性能的方案。最后,提出了使用硬件协议栈为X射线安检设备传输数据的设想,并且根据传输要求给出了设计方案。

著录项

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号