首页> 中文学位 >基于FPGA的千兆以太网图像传输系统
【6h】

基于FPGA的千兆以太网图像传输系统

代理获取

目录

声明

第一章 绪论

1.1 研究背景与意义

1.2 国内外研究现状

1.3 研究内容

1.4 论文结构安排

第二章 理论分析及硬件平台选择

2.1 Gige Vision协议简介

2.2 硬件平台的选择

2.3 系统总体设计方案

2.4 本章小结

第三章 图像采集与通信协议的实现

3.1 图像采集模块

3.2 图像格式处理模块

3.3 GVCP控制模块设计

3.4本章小结

第四章 UDP/IP通信协议栈的实现

4.1 网络协议栈总体设计方案

4.2 ARP协议模块设计

4.3 IP模块设计

4.4 UDP模块设计

4.5 ICMP模块原理

4.6 协议栈包文汇聚模块

4.7 MAC层与物理层的通信实现

4.8 本章小结

第五章 系统验证与性能分析

5.1 测试环境搭建

5.2 系统功能测试

5.3 系统性能分析

5.4 本章小结

第六章 总结与展望

6.1 工作总结

6.2 工作展望

参考文献

致谢

展开▼

摘要

图像采集与传输系统目前已广泛应用于医疗、生物特征识别、机器视觉、军事、遥感监控等领域中,为解决传统的系统中图像传输接口如USB3.0、Camera Link接口等存在传输距离短、体积大等问题,本文研究设计了一种基于FPGA的千兆以太网图像传输系统。 本文的主要目标是设计一个以FPGA为主控芯片,以Gige Vision为传输协议的千兆以太网图像传输系统,其主要包括图像采集模块、图像格式处理模块,GVCP控制模块、UDP/IP协议栈、以及上位机成像共5部分组成。其中对比同类方案,本论文具有以下两点改进:一、系统通过在图像格式处理模块与GVCP控制模块实现Gige Vision作为系统的传输协议,改善了普通FPGA千兆以太网传输系统存在的协议不统一、兼容性较差的问题,并通过对协议内容的精简,与业界Gige IP相比,降低了系统的硬件成本需求与FPGA逻辑资源的使用;二、本论文结合Gige Vision协议的特点与国内外的协议栈的调研,设计出了一个能支持UDP、ARP、ICMP协议解析与发送,且栈内支持高性能切包模块的UDP/IP协议栈,对比同类型协议栈的结构,该切包模块的加入可改善协议栈的带宽利用率问题。 本文采用Verilog硬件描述语言完成整个系统逻辑设计,使用仿真工具Signaltap II、网络分析仪等软件对系统各个模块进行了功能仿真,并最终把所有模块连接起来在,结合上位机成像软件Halcon进行了FPGA板级验证。仿真测试表明,本文设计的基于FPGA的千兆以太网图像传输系统,能正确采集并传输图像数据,在自设计的Gige IP核与UDP/IP网络协议栈上,与同类的设计方案相比有所改进与优化,具有一定的应用价值。

著录项

  • 作者

    陈若峰;

  • 作者单位

    暨南大学;

  • 授予单位 暨南大学;
  • 学科 电子与通信工程
  • 授予学位 硕士
  • 导师姓名 易清明;
  • 年度 2018
  • 页码
  • 总页数
  • 原文格式 PDF
  • 正文语种 中文
  • 中图分类
  • 关键词

    FPGA; 千兆以太网;

相似文献

  • 中文文献
  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号