首页> 外文会议>Proceedings of the great lakes symposium on VLSI 2012 >STEP: A Unified Design Methodology for Secure Test and IP Core Protection
【24h】

STEP: A Unified Design Methodology for Secure Test and IP Core Protection

机译:步骤:用于安全测试和IP内核保护的统一设计方法

获取原文
获取原文并翻译 | 示例

摘要

Intellectual property (IP) core based embedded systems design is a pervasive practice in the semiconductor industry due to shorter time-to-market and tougher cost competitions. Protecting the design informal ion in these IP cores and securing test from various attacks are two emerging challenges in todays embedded systems design. Recent ly reported techniques address these challenges considering secure test and IP core protection separately. However, for ensuring high security during IP core functionality and also during test, joint consideration of secure test, and IP core protection is much needed. In this paper, we propose a novel and unified design methodology, called STEP (Secure TEst and IP core Protection), which addresses the joint objective of secure test and IP core protection. The aim of STEP design methodology is to achieve high security at low system cost using the same key integrated hardware during test and IP core functionality. We evaluate the effectiveness of STEP design methodology considering advanced encryption standard (AES) system as a case study. We show that proposed design methodology benefits from high security and test accuracy, requiring up to 9% higher area and 20% power overheads.
机译:由于缩短了产品上市时间和竞争加剧,基于IP(IP)内核的嵌入式系统设计已成为半导体行业的一种普遍做法。在当今的嵌入式系统设计中,保护这些IP内核中的非正式设计并保护测试免受各种攻击是两个新出现的挑战。最近报道的技术分别考虑了安全测试和IP内核保护,从而解决了这些挑战。但是,为了确保IP内核功能以及测试期间的高安全性,非常需要安全测试和IP内核保护的共同考虑。在本文中,我们提出了一种新颖且统一的设计方法,称为STEP(安全TEst和IP内核保护),它解决了安全测试和IP内核保护的共同目标。 STEP设计方法的目的是在测试和IP内核功能期间使用相同的关键集成硬件,以较低的系统成本实现高安全性。我们以高级加密标准(AES)系统为例,评估STEP设计方法的有效性。我们证明,提出的设计方法学得益于高安全性和测试准确性,要求高达9%的面积和20%的功耗。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号