首页> 外文会议>Proceedings of the 17th symposium on Integrated circuits and system design >An automatic testbench generation tool for a SystemC functional verification methodology
【24h】

An automatic testbench generation tool for a SystemC functional verification methodology

机译:用于SystemC功能验证方法的自动测试平台生成工具

获取原文
获取原文并翻译 | 示例
获取外文期刊封面目录资料

摘要

The advent of new 90nm/130nm VLSI technology and SoC design methodologies, has brought an explosive growth in the complexity of modern electronic circuits. As a result, functional verification has become the major bottleneck in any design flow. New methods are required that allow for easier, quicker and more reusable verification. In this paper we propose an automatic verification methodology approach that enables fast, transaction-level, coverage-driven, self-checking and random-constraint functional verification. Our approach uses the SystemC Verification Library (SCV), to synthesize a tool capable of automatically generating testbench templates. A case study from a real MP3 design is used to show the effectiveness of our approach.
机译:新的90nm / 130nm VLSI技术和SoC设计方法的问世,带来了现代电子电路复杂性的爆炸性增长。结果,功能验证已成为任何设计流程中的主要瓶颈。需要新的方法来简化,更快和可重用的验证。在本文中,我们提出了一种自动验证方法,可实现快速,事务级别,覆盖范围驱动,自我检查和随机约束功能验证。我们的方法使用SystemC验证库(SCV)来综合能够自动生成测试平台模板的工具。来自真实MP3设计的案例研究用于证明我们方法的有效性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号