首页> 外文会议>International conference on embedded computer systems: architectures, modeling and simulation >DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator
【24h】

DRAMSys4.0: A Fast and Cycle-Accurate SystemC/TLM-Based DRAM Simulator

机译:DRAMSYS4.0:基于快速和循环精确的Systemc / TLM的DRAM模拟器

获取原文

摘要

The simulation of DRAMs (Dynamic Random Access Memories) on system level requires highly accurate models due to their complex timing and power behavior. However, conventional cycle-accurate DRAM models often become the bottleneck for the overall simulation speed. A promising alternative are DRAM simulation models based on Transaction Level Modeling, which can be fast and accurate at the same time. In this paper we present DRAMSys4.0, which is, to the best of our knowledge, the fastest cycle-accurate open-source DRAM simulator and has a large range of functionalities. DRAMSys4.0 includes a novel simulator architecture that enables a fast adaptation to new DRAM standards using a Domain Specific Language. We present optimization techniques to achieve a high simulation speed while maintaining full temporal accuracy. Finally, we provide a detailed survey and comparison of the most prominent cycle-accurate open-source DRAM simulators with regard to their supported features, analysis capabilities and simulation speed.
机译:由于其复杂的定时和功率行为,对系统级的DRAM(动态随机存取存储器)的模拟需要高度准确的模型。然而,传统的循环准确的DRAM模型通常成为整体仿真速度的瓶颈。一个有前途的替代方案是基于交易级模型的DRAM仿真模型,它可以同时快速准确。在本文中,我们提出了DRAMSYS4.0,这是我们所知的最快循环准确的开源DRAM模拟器,并且具有大量功能。 DRAMSYS4.0包括一种新颖的模拟器架构,可以使用域特定语言快速适应新的DRAM标准。我们提供了优化技术,以实现高模拟速度,同时保持完全时间精度。最后,我们提供了关于其支持的功能,分析功能和仿真速度最突出的周期准确的开源DRAM模拟器的详细调查和比较。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号