首页> 外文会议>International Conference on Mechanical, Electronic, Control and Automation Engineering >Using Design Compiler Topographical Technology for Modern Process
【24h】

Using Design Compiler Topographical Technology for Modern Process

机译:使用设计编译器地形技术进行现代过程

获取原文

摘要

As the technology scales into deep submicron regime, accurate estimate of interconnect parasitics has become one of important factors on path delay calculation. Design Compiler Topographical technology leverages the Synopsys physical implementation solution to derive the "virtual layout" of the design, thus the tool can accurately predict and use real net capacitances instead of statistical net approximations based on wire load models (WLM). A synthesis method based on WLM mode and topographical mode for 8051 micro-controller in 90 nm technology is presented in this paper. Results show that the Design Compiler Topographical technology can accurately predict post-layout timing and ensure closed correlation to the final physical design.
机译:随着该技术缩小到深度亚微米制度中,互连寄生菌的准确估计已成为路径延迟计算的重要因素之一。 设计编译器地形技术利用Synopsys物理实现解决方案来导出设计的“虚拟布局”,因此该工具可以准确地预测和使用真实的净电容而不是基于线负载模型(WLM)的统计网络近似值。 本文提出了一种基于WLM模式的合成方法和8051微控制器的地形模式。 结果表明,设计编译器地形技术可以准确预测布局后时机,并确保与最终物理设计的闭合相关性。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号