首页> 外文会议>IEEE International Confernece on Computer Design >Design and Test Strategies for Microarchitectural Post-Fabrication Tuning
【24h】

Design and Test Strategies for Microarchitectural Post-Fabrication Tuning

机译:微体建筑后制造后调整的设计与测试策略

获取原文

摘要

Process variations are a major hurdle for continued technology scaling. Both systematic and random variations will affect the critical delay of fabricated chips, causing a wide frequency and power distribution. Tuning techniques adapt the microarchitecture to mitigate the impact of variations at post-fabrication testing time. This paper proposes a new post-fabrication testing framework that accounts for testing costs. This framework uses on-chip canary circuits to capture systematic variation while using statistical analysis to estimate random variation. We derive regression models to predict chip performance and power. These techniques comprise an integrated framework that identifies the most energy efficient post-fabrication tuning configuration for each chip.
机译:过程变化是持续技术缩放的主要障碍。系统和随机变化都会影响制造芯片的临界延迟,从而引起宽频和功率分布。调谐技术适应微体系结构,以减轻制造后测试时间的变化的影响。本文提出了一种新的制造后测试框架,用于测试成本。该框架使用片上的Caniry电路来捕获系统变化,同时使用统计分析来估计随机变化。我们派生回归模型以预测芯片性能和功率。这些技术包括集成框架,其识别每个芯片的最能节能的后制造后调谐配置。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号