首页> 外文会议>International symposium on computer architecture >Using Hardware Vulnerability Factors to Enhance AVF Analysis
【24h】

Using Hardware Vulnerability Factors to Enhance AVF Analysis

机译:使用硬件漏洞因素来增强AVF分析

获取原文

摘要

Fault tolerance is now a primary design constraint for all major microprocessors. One step in determining a processor's compliance to its failure rate target is measuring the Architectural Vulnerability Factor (AVF) of each on-chip structure. The AVF of a hardware structure is the probability that a fault in the structure will affect the output of a program. While AVF generates meaningful insight into system behavior, it cannot quantify the vulnerability of an individual system component (hardware, user program, etc.), limiting the amount of insight that can be generated. To address this, prior work has introduced the Program Vulnerability Factor (PVF) to quantify the vulnerability of software. In this paper, we introduce and analyze the Hardware Vulnerability Factor (HVF) to quantify the vulnerability of hardware. HVF has three concrete benefits which we examine in this paper. First, HVF analysis can provide insight to hardware designers beyond that gained from AVF analysis alone. Second, separating AVF analysis into HVF and PVF steps can accelerate the AVF measurement process. Finally, HVF measurement enables runtime AVF estimation that combines compile-time PVF estimates with runtime HVF measurements. A key benefit of this technique is that it allows software developers to influence the runtime AVF estimates. We demonstrate that this technique can estimate AVF at runtime with an average absolute error of less than 3%.
机译:容错现在是所有主要微处理器的主要设计约束。确定处理器对其失败率目标的遵守目标的一步是测量每个片上结构的架构漏洞因子(AVF)。硬件结构的AVF是结构中故障的概率会影响程序的输出。虽然AVF生成有意义的洞察力对系统行为,但它无法量化单个系统组件(硬件,用户程序等)的漏洞,限制了可以生成的洞察量。为了解决此问题,事先工作引入了程序漏洞因子(PVF)来量化软件的漏洞。在本文中,我们介绍和分析硬件漏洞因子(HVF)来量化硬件的漏洞。 HVF有三个具体的好处,我们在本文中检查。首先,HVF分析可以对超出AVF分析中获得的硬件设计人员提供了解。其次,将AVF分析分离成HVF和PVF步骤可以加速AVF测量过程。最后,HVF测量使得运行时AVF估计能够将编译时间PVF估计与运行时HVF测量相结合。这种技术的一个主要好处是它允许软件开发人员影响运行时AVF估计。我们证明,该技术可以在运行时估计AVF,平均绝对误差小于3%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号