首页> 外文会议>Annual International Symposium on Computer Architecture >FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template
【24h】

FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template

机译:FabScalar:在规范超标集模板中构成任意核心的合成RTL设计

获取原文

摘要

A growing body of work has compiled a strong case for the single-ISA heterogeneous multi-core paradigm. A single-ISA heterogeneous multi-core provides multiple, differently-designed superscalar core types that can streamline the execution of diverse programs and program phases. No prior research has addressed the “Achilles' heel” of this paradigm: design and verification effort is multiplied by the number of different core types. This work frames superscalar processors in a canonical form, so that it becomes feasible to quickly design many cores that differ in the three major superscalar dimensions: superscalar width, pipeline depth, and sizes of structures for extracting instruction-level parallelism (ILP). From this idea, we develop a toolset, called FabScalar, for automatically composing the synthesizable register-transfer-level (RTL) designs of arbitrary cores within a canonical superscalar template. The template defines canonical pipeline stages and interfaces among them. A Canonical Pipeline Stage Library (CPSL) provides many implementations of each canonical pipeline stage, that differ in their superscalar width and depth of sub-pipelining. An RTL generation tool uses the template and CPSL to automatically generate an overall core of desired configuration. Validation experiments are performed along three fronts to evaluate the quality of RTL designs generated by FabScalar: functional and performance (instructions-per-cycle (IPC)) validation, timing validation (cycle time), and confirmation of suitability for standard ASIC flows. With FabScalar, a chip with many different superscalar core types is conceivable.
机译:越来越多的工作组织为单个ISA异构多核范式编制了强烈的案例。单个ISA异构多核提供多种不同设计的超卡核心类型,可以简化各种程序和程序阶段的执行。本范例的“Achilles”脚后跟没有先前的研究:设计和验证努力乘以不同核心类型的数量。这项工作框架以规范形式框架加工器,从而可以快速设计三个主要超高尺寸的许多核心变得可行:超卡宽度,管道深度和用于提取指令级并行性(ILP)的结构的大小。从这个想法中,我们开发一个名为FabScalar的工具集,用于自动构图在规范超标集模板中的任意核心的可合成寄存器传输级(RTL)设计。该模板在其中定义了规范管道阶段和接口。规范管道阶段库(CPSL)提供了每个规范管道阶段的许多实施方式,其在其超卡宽度和次流的深度中不同。 RTL生成工具使用模板和CPSL自动生成所需配置的整体核心。验证实验沿着三个方面进行评估设计由FabScalar生成的RTL的质量:功能和性能(指令每次循环(IPC))验证,验证定时(周期时间),和适合用于标准ASIC流程的确认。使用Fabscalar,可以想到具有许多不同超高核心类型的芯片。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号