首页> 外文会议>Design, Automation and Test in Europe Conference and Exhibition >An Efficient MILP-Based Aging-Aware Floorplanner for Multi-Context Coarse-Grained Runtime Reconfigurable FPGAs
【24h】

An Efficient MILP-Based Aging-Aware Floorplanner for Multi-Context Coarse-Grained Runtime Reconfigurable FPGAs

机译:一个有效的基于MILP的老化感知布局规划器,用于多上下文粗粒度运行时可重配置FPGA

获取原文
获取外文期刊封面目录资料

摘要

Shrinking transistor sizes are jeopardizing the reliability of runtime reconfigurable Field Programmable Gate Arrays (FPGAs), making them increasingly sensitive to aging effects such as Negative Bias Temperature Instability (NBTI). This paper introduces a reliability-aware floorplanner which is tailored to multi-context, coarse-grained, runtime reconfigurable architectures (CGRRAs) and seeks to extend their Mean Time to Failure (MTTF) by balancing the usage of processing elements (PEs). The proposed method is based on a Mixed Integer Linear Programming (MILP) formulation, the solution to which produces appropriately-balanced mappings of workload to PEs on the reconfigurable fabric, thereby mitigating aging-induced lifetime degradation. Results demonstrate that, as compared to the default reliability-unaware floorplanning solutions, the proposed method achieves an average MTTF increase of 2.5× without introducing any performance degradation.
机译:收缩晶体管尺寸正在危及运行时可重新配置现场可编程门阵列(FPGA)的可靠性,使得它们对老化效应越来越敏感,例如负偏置温度不稳定性(NBTI)。本文介绍了一种可靠性意识的底板,它量身定制于多上下文,粗粒,运行时可重新配置的架构(CGRRAS),并通过平衡处理元素(PES)的使用来旨在扩展其平均故障(MTTF)。该方法基于混合整数线性编程(MILP)制剂,该解决方案在可重新配置织物上产生适当平衡的工作量映射到PES,从而减轻衰老诱导的寿命劣化。结果表明,与默认可靠性 - 不知道平面图相比,所提出的方法达到平均MTTF增加2.5倍,而不会引入任何性能下降。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号