首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >Fine-Tuning the Active Timing Margin (ATM) Control Loop for Maximizing Multi-core Efficiency on an IBM POWER Server
【24h】

Fine-Tuning the Active Timing Margin (ATM) Control Loop for Maximizing Multi-core Efficiency on an IBM POWER Server

机译:微调活动时序裕量(ATM)控制环路,以最大程度地提高IBM POWER Server上的多核效率

获取原文

摘要

Active Timing Margin (ATM) is a technology that improves processor efficiency by reducing the pipeline timing margin with a control loop that adjusts voltage and frequency based on real-time chip environment monitoring. Although ATM has already been shown to yield substantial performance benefits, its full potential has yet to be unlocked. In this paper, we investigate how to maximize ATM’s efficiency gain with a new means of exposing the inter-core speed variation: finetuning the ATM control loop. We conduct our analysis and evaluation on a production-grade POWER7+ system. On the POWER7+ server platform, we fine-tune the ATM control loop by programming its Critical Path Monitors, a key component of its ATM design that measures the cores’ timing margins. With a robust stress-test procedure, we expose over 200 MHz of inherent inter-core speed differential by fine-tuning the percore ATM control loop. Exploiting this differential, we manage to double the ATM frequency gain over the static timing margin; this is not possible using conventional means, i.e. by setting fixed points for each core, because the corelevel must account for chip-wide worst-case voltage variation. To manage the significant performance heterogeneity of fine-tuned systems, we propose application scheduling and throttling to manage the chip’s process and voltage variation. Our proposal improves application performance by more than 10% over the static margin, almost doubling the 6% improvement of the default, unmanaged ATM system. Our technique is general enough that it can be adopted by any system that employs an active timing margin control loop
机译:主动时序裕量(Active Timing Margin)(ATM)是一项技术,它通过基于实时芯片环境监控来调节电压和频率的控制环路来减少流水线时序裕量,从而提高了处理器效率。尽管ATM已经显示出可带来实质性的性能优势,但其全部潜力尚未释放。在本文中,我们研究了如何通过一种暴露核心间速度变化的新方法来最大化ATM的效率增益:对ATM控制回路进行微调。我们在生产级POWER7 +系统上进行分析和评估。在POWER7 +服务器平台上,我们通过对其关键路径监视器进行编程来微调ATM控制环路,该关键路径监视器是ATM设计中测量核心定时裕度的关键组件。通过强大的压力测试程序,我们可以通过微调percore ATM控制回路来暴露200 MHz以上的固有内核间速度差异。利用这种差异,我们设法使ATM频率增益在静态时序裕量上翻倍;使用常规方法是不可能做到这一点的,即通过为每个内核设置固定的点,因为内核级别必须考虑芯片范围的最坏情况下的电压变化。为了管理微调系统的显着性能异质性,我们建议应用程序调度和节流来管理芯片的工艺和电压变化。我们的建议使应用程序性能比静态余量提高了10%以上,几乎是默认的非托管ATM系统提高6%的两倍。我们的技术足够通用,可以被采用主动时序裕量控制环路的任何系统采用

著录项

相似文献

  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号