首页> 外文会议>IEEE International Symposium on High Performance Computer Architecture >PageSeer: Using Page Walks to Trigger Page Swaps in Hybrid Memory Systems
【24h】

PageSeer: Using Page Walks to Trigger Page Swaps in Hybrid Memory Systems

机译:PageSeer:使用页面漫游来触发混合内存系统中的页面交换

获取原文

摘要

Hybrid main memories composed of DRAM and Non-Volatile Memory (NVM) combine the capacity benefits of NVM with the low-latency properties of DRAM. For highest performance, data segments should be exchanged between the two types of memories dynamically-a process known as segment swappingbased on the access patterns to the segments in the program. The key difficulty in hardware-managed swapping is to identify the appropriate segments to swap between the memories at the right time in the execution. To perform hardware-managed segment swapping both accurately and with substantial lead time, this paper proposes to use hints from the page walk in a TLB miss. We call the scheme PageSeer. During the generation of the physical address for a page in a TLB miss, the memory controller is informed. The controller uses historic data on the accesses to that page and to a subsequently-referenced page (i.e., its follower page), to potentially initiate swaps for the page and for its follower. We call these actions MMU-Triggered Prefetch Swaps. PageSeer also initiates other types of page swaps, building a complete solution for hybrid memory. Our evaluation of PageSeer with simulations of 26 workloads shows that PageSeer effectively hides the swap overhead and services many requests from the DRAM. Compared to a state-of-the-art hardware-only scheme for hybrid memory management, PageSeer on average improves performance by 19% and reduces the average main memory access time by 29%."
机译:由DRAM和非易失性存储器(NVM)组成的混合主存储器结合了NVM的容量优势和DRAM的低延迟特性。为了获得最高性能,应该在两种类型的存储器之间动态交换数据段-这个过程称为段交换,它基于对程序中段的访问模式。硬件管理的交换的主要困难是确定适当的段以在执行的正确时间在存储器之间交换。为了准确且在大量交付时间内执行硬件管理的段交换,本文建议使用TLB未命中的页面遍历提示。我们称该方案为PageSeer。在为TLB未命中的页面生成物理地址的过程中,将通知存储控制器。控制器在访问该页面以及随后引用的页面(即其关注者页面)时使用历史数据,以潜在地发起该页面及其关注者的交换。我们称这些动作为MMU触发的预取交换。 PageSeer还可以启动其他类型的页面交换,从而为混合内存构建完整的解决方案。通过对26种工作负载的仿真,我们对PageSeer的评估表明,PageSeer有效地隐藏了交换开销,并为DRAM中的许多请求提供服务。与用于混合内存管理的最先进的仅硬件方案相比,PageSeer平均将性能提高了19%,并且平均平均主存储器访问时间减少了29%。”

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号