首页> 外文会议>IEEE International Solid- State Circuits Conference >14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI
【24h】

14.5 Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI

机译:14.5设想:28nm FDSOI中的0.26至10TOPS / W子字并行动态电压精度频率可伸缩卷积神经网络处理器

获取原文

摘要

ConvNets, or Convolutional Neural Networks (CNN), are state-of-the-art classification algorithms, achieving near-human performance in visual recognition [1]. New trends such as augmented reality demand always-on visual processing in wearable devices. Yet, advanced ConvNets achieving high recognition rates are too expensive in terms of energy as they require substantial data movement and billions of convolution computations. Today, state-of-the-art mobile GPU's and ConvNet accelerator ASICs [2][3] only demonstrate energy-efficiencies of 10's to several 100's GOPS/W, which is one order of magnitude below requirements for always-on applications. This paper introduces the concept of hierarchical recognition processing, combined with the Envision platform: an energy-scalable ConvNet processor achieving efficiencies up to 10TOPS/W, while maintaining recognition rate and throughput. Envision hereby enables always-on visual recognition in wearable devices.
机译:ConvNets或卷积神经网络(CNN)是最新的分类算法,可在视觉识别中实现接近人类的性能[1]。增强现实等新趋势要求可穿戴设备中始终存在可视化处理功能。然而,实现高识别率的高级ConvNet在能源方面过于昂贵,因为它们需要大量的数据移动和数十亿次的卷积计算。如今,最先进的移动GPU和ConvNet加速器ASIC [2] [3]仅显示出10到100的GOPS / W的能源效率,这比常亮应用的要求低一个数量级。本文介绍了与Envision平台相结合的分层识别处理的概念:一种可扩展能源的ConvNet处理器,可实现高达10TOPS / W的效率,同时保持识别率和吞吐量。因此,Envision可以在可穿戴设备中实现始终在线的视觉识别。

著录项

相似文献

  • 外文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号