首页> 外文会议>ACM Great Lakes Symposium on VLSI >Prolonging lifetime of non-volatile last level caches with cluster mapping
【24h】

Prolonging lifetime of non-volatile last level caches with cluster mapping

机译:通过群集映射来延长非易失性最后一级缓存的寿命

获取原文

摘要

Recently, work has been done on using nonvolatile cells, such as Spin Transfer Torque RAM (STT-RAM) or Magnetic RAM (M-RAM), to construct last level caches (LLC). These structures mitigate the leakage power and density problem found in traditional SRAM cells. However, the low endurance of nonvolatile caches decreases the lifetime of the LLC. Therefore, an effective wear-leveling technique is required to tackle this issue. In this paper, we propose the inter-set algorithm that distributes the write traffic to all portions of the cache. Our method is based on cluster mapping that dynamically replaces two clusters during the operation of system. Since the inter-set algorithm is based on data movement, a large amount of data must transfer in each replacement. For an efficient data movement with a minimum effect on performance, we develop the novel scheduling technique that utilizes the idle time of the LLC in the computation phase of the processors. Our approach effectively improves the lifetime of LLC with negligible performance and area overhead. Using these methods in a quad core system with 2MB LLC, we can improve the lifetime of non-volatile LLC by 30% on average.
机译:最近,已经完成了使用非易失性单元(例如自旋传递扭矩RAM(STT-RAM)或电磁RAM(M-RAM))来构造最后一级高速缓存(LLC)的工作。这些结构减轻了传统SRAM单元中发现的泄漏功率和密度问题。但是,非易失性高速缓存的低耐久性会降低LLC的寿命。因此,需要一种有效的磨损平衡技术来解决此问题。在本文中,我们提出了一种集集算法,该算法将写流量分配到缓存的所有部分。我们的方法基于群集映射,该群集映射在系统运行期间动态替换两个群集。由于组间算法基于数据移动,因此每次替换都必须传输大量数据。为了在对性能的影响最小的情况下进行有效的数据移动,我们开发了新颖的调度技术,该技术在处理器的计算阶段利用LLC的空闲时间。我们的方法以可忽略的性能和面积开销有效地改善了LLC的使用寿命。在具有2MB LLC的四核系统中使用这些方法,我们可以将非易失性LLC的寿命平均提高30%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号