首页> 外文会议>ACM Great Lakes Symposium on VLSI >Design and comparative evaluation of a hybrid Cache memory at architectural level
【24h】

Design and comparative evaluation of a hybrid Cache memory at architectural level

机译:在架构级别设计和比较混合缓存

获取原文

摘要

A hybrid memory cell usually consists of a Static Random Access Memory (SRAM) and an embedded Dynamic Random Access Memory (eDRAM) cell; hybrid cells are particularly suitable for cache design. A novel hybrid cache memory scheme (that has also non-volatile elements) is initially proposed; this scheme is assessed through extensive simulation to show significant improvements in performance. Different design implementations of the hybrid cache are then proposed at architectural level and different features (such as the memory hit rate, the Instruction Per Cycle (IPC) access pattern and the memory cell access time) are also simulated at this level using benchmarks to show the advantages of the proposed scheme for use as an hybrid cache.
机译:混合存储单元通常由静态随机存取存储器(SRAM)和嵌入式动态随机存取存储器(eDRAM)单元组成。混合单元特别适合于缓存设计。最初提出了一种新颖的混合式高速缓存存储方案(也具有非易失性元素)。通过广泛的仿真对该方案进行了评估,以显示性能的显着提高。然后,在架构级别上提出了混合缓存的不同设计实现,并在此级别上使用基准模拟了不同的功能(例如内存命中率,每周期指令(IPC)访问模式和内存单元访问时间),以显示提议的方案用作混合缓存的优点。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号