首页> 外文会议>IFIP/IEEE International Conference on Very Large Scale Integration >Dynamic cache pooling for improving energy efficiency in 3D stacked multicore processors
【24h】

Dynamic cache pooling for improving energy efficiency in 3D stacked multicore processors

机译:动态缓存池可提高3D堆叠多核处理器的能效

获取原文
获取外文期刊封面目录资料

摘要

Resource pooling, where multiple architectural components are shared among multiple cores, is a promising technique for improving the system energy efficiency and reducing the total chip area. 3D stacked multicore processors enable efficient pooling of cache resources owing to the short interconnect latency between vertically stacked layers. This paper introduces a 3D multicore architecture that provides poolable cache resources. We propose a runtime policy that improves energy efficiency in 3D stacked processors by providing flexible heterogeneity of the cache resources. Our policy dynamically allocates jobs to cores on the 3D stacked system in a way that pairs applications with contrasting cache use, while also partitioning the cache resources based on the cache hungriness of the applications. Experimental results demonstrate that the proposed policy improves system energy-delay product (EDP) and energy-delay-area product (EDAP) by up to 39.2% and 57.2%, respectively, compared to 3D processors with static cache sizes.
机译:在多个内核之间共享多个架构组件的资源池是一种有前途的技术,可以提高系统能效并减少总芯片面积。由于垂直堆叠的层之间的互连延迟短,因此3D堆叠的多核处理器可实现缓存资源的有效池化。本文介绍了一种3D多核体系结构,该体系结构提供了可缓冲的缓存资源。我们提出了一种运行时策略,该策略通过提供灵活的缓存资源异构性来提高3D堆叠处理器的能效。我们的策略可以动态地将作业分配给3D堆叠系统上的核心,该方式可以将应用程序与不同的缓存使用情况配对在一起,同时还可以根据应用程序的缓存饥饿程度对缓存资源进行分区。实验结果表明,与具有静态缓存大小的3D处理器相比,所提出的策略将系统能量延迟积(EDP)和能量延迟面积积(EDAP)分别提高了39.2%和57.2%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号