首页> 外文会议>ACM/IEEE Annual International Symposium on Computer Architecture >TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests
【24h】

TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests

机译:TransForm:正式指定延展性模型并综合增强的石蕊测试

获取原文

摘要

Memory consistency models (MCMs) specify the legal ordering and visibility of shared memory accesses in a parallel program. Traditionally, instruction set architecture (ISA) MCMs assume that relevant program-visible memory ordering behaviors only result from shared memory interactions that take place between user-level program instructions. This assumption fails to account for virtual memory (VM) implementations that may result in additional shared memory interactions between user-level program instructions and both 1) system-level operations (e.g., address remappings and translation lookaside buffer invalidations initiated by system calls) and 2) hardware-level operations (e.g., hardware page table walks and dirty bit updates) during a user-level program’ s execution. These additional shared memory interactions can impact the observable memory ordering behaviors of user-level programs. Thus, memory transistency models (MTMs) have been coined as a superset of MCMs to additionally articulate VM-aware consistency rules. However, no prior work has enabled formal MTM specifications, nor methods to support their automated analysis.To fill the above gap, this paper presents the TransForm framework. First, TransForm features an axiomatic vocabulary for formally specifying MTMs. Second, TransForm includes a synthesis engine to support the automated generation of litmus tests enhanced with MTM features (i.e., enhanced litmus tests, or ELTs) when supplied with a TransForm MTM specification. As a case study, we formally define an estimated MTM for Intel x86 processors, called x86t_elt, that is based on observations made by an ELT-based evaluation of an Intel x86 MTM implementation from prior work and available public documentation [23], [29]. Given x86t_elt and a synthesis bound (on program size) as input, TransForm’ s synthesis engine successfully produces a complete set of ELTs (within a 9-instruction bound) including relevant hand-curated ELTs from prior work, plus 100 more.
机译:内存一致性模型(MCM)指定并行程序中共享内存访问的合法顺序和可见性。传统上,指令集体系结构(ISA)MCM假定相关的程序可见内存排序行为仅是由用户级程序指令之间发生的共享内存交互导致的。这种假设无法说明虚拟内存(VM)的实现方式,这种实现方式可能会导致用户级程序指令与两者之间的其他共享内存交互:1)系统级操作(例如,由系统调用启动的地址重新映射和转换后备缓冲区无效)和2)在用户级程序执行期间的硬件级操作(例如,硬件页表遍历和脏位更新)。这些额外的共享内存交互可能会影响用户级程序的可观察到的内存排序行为。因此,已将内存持久性模型(MTM)定义为MCM的超集,以进一步阐明VM感知的一致性规则。但是,以前的工作都没有启用正式的MTM规范,也没有方法支持它们的自动化分析。为填补上述空白,本文提出了TransForm框架。首先,TransForm具有用于正式指定MTM的公理词汇。其次,TransForm包括一个综合引擎,当提供TransForm MTM规范时,该引擎可支持通过MTM功能(即增强的石蕊测试或ELT)增强的石蕊测试的自动生成。作为案例研究,我们正式定义了一个基于xt处理器的Intel x86处理器的估计MTM,称为x86t_elt,它基于从以前的工作和可用的公共文档中,基于ELT的Intel x86 MTM实现的评估得出的观察结果[23],[29] ]。在输入x86t_elt和一个综合限制(程序大小)的情况下,TransForm的综合引擎成功地生成了一套完整的ELT(在9条指令范围内),其中包括先前工作中的相关手工编写的ELT,外加100多个。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号