首页> 外文会议>International Symposium on Multidisciplinary Studies and Innovative Technologies >gem5-SALAM: A System Architecture for LLVM-based Accelerator Modeling
【24h】

gem5-SALAM: A System Architecture for LLVM-based Accelerator Modeling

机译:gem5-SALAM:基于LLVM的加速器建模的系统架构

获取原文

摘要

With the prevalence of hardware accelerators as an integral part of the modern systems on chip (SoCs), the ability to quickly and accurately model accelerators within the system it operates is critical. This paper presents gem5-SALAM as a novel system architecture for LLVM-based modeling and simulation of custom hardware accelerators integrated into the gem5 framework. gem5-SALAM overcomes the inherent limitations of state-of-the-art trace-based pre-register-transfer level (RTL) simulators by offering a truly "execute-in-execute" LLVM-based model. It enables scalable modeling of multiple dynamically interacting accelerators with full-system simulation support. To create sustainable long-term expansion compatible with the gem5 system framework, gem5-SALAM offers a general-purpose and modular communication interface and memory hierarchy integrated into the gem5 ecosystem which streamlines designing and modeling accelerators for new and emerging applications. Validation on the MachSuite [17] benchmarks present a timing estimation error of less than 1% against Vivado High-Level Synthesis (HLS) tool. Results also show less than a 4% area and power estimation error against Synopsys Design Compiler. Additionally, system validation against implementations on a Ultrascale+ ZCU102 shows an average end-to-end timing error of less than 2%. Lastly, this paper presents the capabilities of gem5-SALAM in cycle-level profiling and full system design space exploration of accelerator-rich systems.
机译:由于硬件加速器已成为现代片上系统(SoC)不可或缺的一部分,因此在其运行的系统内快速准确地对加速器建模的能力至关重要。本文介绍gem5-SALAM作为一种新颖的系统架构,用于基于LLVM的建模和仿真,集成到gem5框架中的自定义硬件加速器。 gem5-SALAM通过提供真正的“执行时执行”基于LLVM的模型,克服了基于最新跟踪的预寄存器传送级别(RTL)仿真器的固有局限性。它具有完整的系统仿真支持,可以对多个动态交互的加速器进行可扩展的建模。为了创建与gem5系统框架兼容的可持续长期扩展,gem5-SALAM提供了通用和模块化的通信接口以及集成到gem5生态系统中的内存层次结构,从而简化了针对新兴应用程序的设计和建模加速器。与Vivado高级综合(HLS)工具相比,MachSuite [17]基准测试的时序估计误差小于1%。结果还显示,与Synopsys Design Compiler相比,面积和功耗估算误差不到4%。此外,针对Ultrascale + ZCU102上的实现进行的系统验证显示,平均端到端时序误差小于2%。最后,本文介绍了gem5-SALAM在丰富加速器系统的循环级分析和完整系统设计空间探索中的功能。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号