首页> 外文会议>International symposium on formal methods >Formally Verifying Graphics FPU An Intel~® Experience
【24h】

Formally Verifying Graphics FPU An Intel~® Experience

机译:正式验证图形FPU的Intel®®体验

获取原文

摘要

Verification of a Floating Point Unit (FPU) has always been a challenging task and its completeness is always a question. Formal verification (FV) guarantees 100% coverage and is usually the sign-off methodology for FPU verification. At Intel~®, Symbolic Trajectory Evaluation (STE) FV has been used for over two decades to verify CPU FPUs. With the ever-increasing workload share between core-CPU and Graphics Processing Unit (GPU) and the augmented set of data standards that GPU has to comply with, the complexity of graphics FPU is exploding. This has made use of FV imperative to avoid any bug escapes. STE which has proved to be the state of the art methodology for CPU's FPU verification was leveraged in verifying Intel~®'s Graphics FPU. There were many roadblocks along the way because of the extra flexibility provided in graphics FPU instructions. This paper presents our experience in formally verifying the graphics FPU.
机译:验证浮点单元(FPU)一直是一项艰巨的任务,其完整性始终是一个问题。正式验证(FV)保证100%的覆盖率,通常是FPU验证的签核方法。在英特尔®,符号轨迹评估(STE)FV已经使用了二十多年来验证CPU FPU。随着核心CPU和图形处理单元(GPU)之间工作负载的份额不断增加,以及GPU必须遵守的数据标准集的增加,图形FPU的复杂性正在爆炸式增长。必须使用FV来避免任何错误逃逸。 STE被证明是CPU FPU验证的最先进方法,可用于验证Intel®图形FPU。由于图形FPU指令提供了额外的灵活性,因此一路走来有很多障碍。本文介绍了我们在正式验证图形FPU方面的经验。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号