首页> 外文会议>International Conference on Parallel Architectures and Compilation Techniques >System-level Max POwer (SYMPO) - a systematic approach for escalating system-level power consumption using synthetic benchmarks
【24h】

System-level Max POwer (SYMPO) - a systematic approach for escalating system-level power consumption using synthetic benchmarks

机译:系统级最大功率(SYMPO)-使用综合基准来逐步升级系统级功耗的系统方法

获取原文

摘要

To effectively design a computer system for the worst case power consumption scenario, system architects often use hand-crafted maximum power consuming benchmarks at the assembly language level. These stressmarks, also called power viruses, are very tedious to generate and require significant domain knowledge. In this paper, we propose SYMPO, an automatic SYstem level Max POwer virus generation framework, which maximizes the power consumption of the CPU and the memory system using genetic algorithm and an abstract workload generation framework. For a set of three ISAs, we show the efficacy of the power viruses generated using SYMPO by comparing the power consumption with that of MPrime torture test, which is widely used by industry to test system stability. Our results show that the usage of SYMPO results in the generation of power viruses that consume 14-41% more power compared to MPrime on SPARC ISA. The genetic algorithm achieved this result in about 70 to 90 generations in 11 to 15 hours when using a full system simulator. We also show that the power viruses generated in the Alpha ISA consume 9-24% more power compared to the previous approach of stressmark generation. We measure and provide the power consumption of these benchmarks on hardware by instrumenting a quad-core AMD Phenom II X4 system. The SYMPO power virus consumes more power compared to various industry grade power viruses on x86 hardware. We also provide a microarchitecture independent characterization of various industry standard power viruses.
机译:为了针对最坏的功耗情况有效地设计计算机系统,系统设计师经常在汇编语言级别使用手工制作的最大功耗基准。这些压力标记(也称为超级病毒)在生成时非常繁琐,并且需要大量领域知识。在本文中,我们提出了SYMPO,这是一种系统级的Max Power自动病毒生成框架,它使用遗传算法和抽象的工作负载生成框架来最大程度地提高CPU和内存系统的功耗。对于一组三个ISA,我们通过将功耗与MPrime酷刑测试的功耗进行比较,展示了使用SYMPO生成的强病毒的功效,该测试已被业界广泛用于测试系统的稳定性。我们的结果表明,与SPARC ISA上的MPrime相比,使用SYMPO会导致生成耗能多14-41%的超级病毒。使用完整的系统模拟器时,遗传算法可在11到15个小时内约70到90代实现此结果。我们还显示,与以前的压力标记生成方法相比,Alpha ISA中生成的超级病毒消耗的功率多9-24%。我们通过安装四核AMD Phenom II X4系统来测量并提供这些基准测试在硬件上的功耗。与x86硬件上的各种工业级电源病毒相比,SYMPO电源病毒消耗的功率更多。我们还提供了各种行业标准强力病毒的独立于微体系结构的特征。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号