首页> 外文会议>International Conference on Parallel Architectures and Compilation Techniques >Sthira: A Formal Approach to Minimize Voltage Guardbands under Variation in Networks-on-Chip for Energy Efficiency
【24h】

Sthira: A Formal Approach to Minimize Voltage Guardbands under Variation in Networks-on-Chip for Energy Efficiency

机译:STHIRA:一种正式的方法,可以最大限度地减少电压保护带的电压保护带的节能效率

获取原文

摘要

Networks-on-Chip (NoCs) in chip multiprocessors are prone to within-die process variation as they span the whole chip. To tolerate variation, their voltages (Vdd) carry over-provisioned guardbands. As a result, prior work has proposed to save energy by operating at reduced Vdd while occasionally suffering and fixing errors. Unfortunately, these proposals use heuristic controller designs that provide no error bounds guarantees. In this work, we develop a scheme that dynamically minimizes the Vdd of groups of routers in a variation-prone NoC using formal control-theoretic methods. The scheme, called Sthira, saves substantial energy while guaranteeing the stability and convergence of error rates. We also enhance the scheme with a low-cost secondary network that retransmits erroneous packets for higher energy efficiency. The enhanced scheme is called Sthira+. We evaluate Sthira and Sthira+ with simulations of NoCs with 64-100 routers. In an NoC with 8 routers per Vdd domain, our schemes reduce the average energy consumptionof the NoC by 27%; in a futuristic NoC with one router per Vdd domain, Sthira+ and Sthira reduce the average energy consumption by 36% and 32%, respectively. The performance impact is negligible. These are significant savings over the state-of-the-art. We conclude that formal control is essential, and that the cheaper Sthira is more cost-effective than Sthira+.
机译:芯片多处理器中的片内(NOC)容易出现在模具内部过程变化,因为它们跨越整个芯片。为了容忍变化,它们的电压(V DD )携带过度配置的保护带。因此,已经提出了通过在减少的V DD 上运行,同时偶尔遭受和修复错误来节省能量。不幸的是,这些提案使用启发式控制器设计,提供没有错误界限的保证。在这项工作中,我们开发了一种动态地最小化了使用正式控制定理方法在变化的易于NOC中的V DD 的V DD 。该计划称为STHIRA,在保证错误率的稳定性和收敛时节省了大量的能量。我们还通过低成本的二级网络增强了该方案,用于重新讲述错误数据包以获得更高的能效。增强方案称为STHIRA +。我们评估了STHIRA和STHIRA +,用64-100路由器模拟了NOCS。在每个V DD 域的NOC中,我们的计划将NOC的平均能耗降低27 %;在每个VDD域的一个路由器未来的NOC中,STHIRA +和STHIRA分别将平均能耗降低36 %和32 %。性能影响可忽略不计。这些是对最先进的显着节省的。我们得出结论,正式控制至关重要,并且更便宜的STHIRA比STHIRA +更具成本效益。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号