首页> 外文会议>Computer Architecture, 2008. ISCA '08 >Counting Dependence Predictors
【24h】

Counting Dependence Predictors

机译:计数依赖预测器

获取原文

摘要

Modern processors rely on memory dependence prediction to execute load instructions as early as possible, speculating that they are not dependent on an earlier, unissued store. To date, the most sophisticated dependence predictors, such as Store Sets, have been tightly coupled to the fetch and execution streams, requiring global knowledge of the in-flight stream of stores to synchronize loads with specific stores. This paper proposes a new dependence predictor design, called a Counting Dependence Predictor (CDP). The key feature of CDPs is that the prediction mechanism predicts some set of events for which a particular dynamic load should wait, which may include some number of matching stores. By waiting for local events only, this dependence predictor can work effectively in a distributed microarchitecture where centralized fetch and execution streams are infeasible or undesirable. We describe and evaluate a distributed Counting Dependence Predictor and protocol that achieves 92% of the performance of perfect memory disambiguation. It outperforms a load-wait table, similar to the Alpha 21264, by 11%. Idealized, centralized implementations of Store Sets and the Exclusive Collision Predictor, both of which would be difficult to implement in a distributed microarchitecture, achieve 97% and 94% of oracular performance, respectively.
机译:现代处理器依靠内存依赖性预测来尽早执行加载指令,并推测它们不依赖于较早的未发行存储。迄今为止,最复杂的依赖项预测器(如商店集)已经与获取和执行流紧密结合,需要对商店的运行中流具有全局了解,才能使负载与特定商店同步。本文提出了一种新的依赖预测器设计,称为计数依赖预测器(CDP)。 CDP的关键特征是,预测机制可以预测特定动态负载应等待的一组事件,其中可能包括一定数量的匹配存储。通过仅等待本地事件,此依赖项预测器可以在分布式微体系结构中有效工作,在该体系结构中,集中式获取和执行流不可行或不受欢迎。我们描述和评估可实现完美内存消除歧义性能的92%的分布式计数依赖预测器和协议。它比类似于Alpha 21264的加载等待表好11%。理想的,集中化的商店集和“排他性冲突预测器”实现,很难在分布式微体系结构中实现,分别实现了97%和94%的眼动性能。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号