【24h】

Efficient System-on-Chip Energy Management with a Segmented Bloom Filter

机译:带有分段布隆过滤器的高效片上系统能量管理

获取原文
获取原文并翻译 | 示例

摘要

As applications tend to grow more complex and use more memory, the demand for cache space increases. Thus embedded processors are inclined to use larger caches. Predicting a miss in a long-latency cache becomes crucial in an embedded system-on-chip(SOC) platform to perform microarchitecture-level energy management. Counting Bloom filters are simple and fast structures that can eliminate associative lookup in a huge lookup space. This paper presents an innovative segmented design of the counting Bloom filter which can save SOC energy by detecting misses aiming at a cache level before the memory. The filter presented is successful in filtering out 89% of L2 cache misses and thus helps in reducing L2 accesses by upto 30%. This reduction in L2 Cache accesses and early triggering of energy management processes lead to an overall SOC energy savings by up to 9%.
机译:随着应用程序变得越来越复杂并使用更多的内存,对缓存空间的需求也在增加。因此,嵌入式处理器倾向于使用更大的缓存。在嵌入式系统级芯片(SOC)平台中执行微体系结构级能源管理,预测长等待时间缓存中的未命中变得至关重要。计数布隆过滤器是简单快速的结构,可以消除巨大查找空间中的关联查找。本文介绍了计数布鲁姆滤波器的创新分段设计,该设计可以通过在存储器之前针对高速缓存级别检测未命中,从而节省SOC能量。所展示的过滤器可以成功过滤掉89%的L2缓存未命中,因此有助于减少多达30%的L2访问。 L2缓存访问量的减少和能源管理流程的提前触发导致SOC总体节省了多达9%的能源。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号