掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE International Symposium on Circuits and Systems;ISCAS 2009
IEEE International Symposium on Circuits and Systems;ISCAS 2009
召开年:
2009
召开地:
Taipei(CT);Taipei(CT)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Utilization of field programmable analog arrays (FPAA) to emulate power system dynamics
机译:
利用现场可编程模拟阵列(FPAA)模拟电力系统动态
作者:
Deese, A.
;
Jimenez, J.C.
;
Nwankpa, C.O.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
VLSI;
field programmable analogue arrays;
VLSI design;
analog computation;
field programmable analog arrays;
power system dynamics;
power system emulation;
printed circuit boards;
2.
The nonlinear class-E amplifier: A case study of harmonic balance applied to switched circuits
机译:
非线性E类放大器:以开关电路谐波平衡为例
作者:
Bonet-Dalmau, J.
;
Pala-Schonwalder, P.
;
del-Aguila-Lopez, F.
;
Moncunill-Geniz, F.X.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
amplifiers;
frequency-domain analysis;
switching circuits;
frequency-domain methods;
harmonic balance methods;
nonlinear class-E amplifier;
nonlinear switched circuits;
steady-state;
switching function;
3.
Real-time simulation on a multi-core PC platform for model-based power system harmonic study
机译:
基于模型的电力系统谐波研究的多核PC平台上的实时仿真
作者:
Yu-Jen Liu
;
Chang, G.W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
mathematics computing;
pattern clustering;
power system harmonics;
MATLAB;
PC cluster-based real-time parallel simulator;
SIMULINK;
computer technologies;
model-based power system harmonic study;
multicore PC platform;
real-time simulation;
time-domain responses;
4.
Noise-induced breakdown of stochastic resonant behavior of van der Pol oscillators coupled by time-varying resistor
机译:
噪声引起的范德波尔振荡器随时变电阻器随机共振行为的分解
作者:
Uwate, Y.
;
Nishio, Y.
;
Stoop, R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
circuit resonance;
electric breakdown;
relaxation oscillators;
resistors;
statistical analysis;
switching circuits;
synchronisation;
time-varying networks;
antiphase synchronization;
in-phase synchronization;
noise correlation;
noise-induced breakdown;
statistics;
stochastic resonance phenomenon;
stochastic resonant behavior;
stochastically time-varying resistor;
switching;
van der Pol oscillator;
5.
Fast frequency acquisition phase frequency detectors with prediction-based edge blocking
机译:
具有基于预测的边缘阻塞的快速频率采集相位频率检测器
作者:
Kangwoo Park
;
In-Cheol Park
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
phase locked loops;
CMOS technology;
PLL;
dead-zone problem;
fast frequency acquisition;
phase frequency detectors;
phase-locked loop;
prediction-based edge blocking;
size 0.18 mum;
6.
A 1.08-Gb/s burst-mode clock and data recovery circuit using the jitter reduction technique
机译:
采用抖动减少技术的1.08 Gb / s突发模式时钟和数据恢复电路
作者:
Kae-Dyi You
;
Herming Chiueh
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS digital integrated circuits;
clock and data recovery circuits;
delays;
jitter;
programmable circuits;
CMOS half-rate burst-mode clock and data recovery circuit;
bit rate 1.08 Gbit/s;
discrete time delay;
dynamic average delay time;
edge detector;
jitter reduction technique;
power 36 mW;
power consumption;
programmable delay circuit;
size 0.18 mum;
voltage 1.8 V;
7.
Symbolic dynamics based method for rigorous study of the existence of short cycles for chaotic systems
机译:
基于符号动力学的方法严格研究混沌系统短周期的存在
作者:
Galias, Z.
;
Tucker, W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Poincare mapping;
chaos;
Lorenz system;
Poincare map;
approximate initial positions;
chaotic systems;
interval methods;
interval operators;
periodic orbits;
symbolic dynamics based method;
8.
A novel hybrid synchronization of two coupled complex networks
机译:
两个耦合的复杂网络的新型混合同步
作者:
Sun Wen
;
Shihua Chen
;
Jinhu Lu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Lyapunov methods;
feedback;
linear systems;
nonlinear control systems;
numerical analysis;
stability;
synchronisation;
Lasalle invariant principle;
Lyapunov stability theory;
coupled complex network;
hybrid synchronization;
linear feedback controller;
numerical simulation;
9.
An ASIC for SMTP Intrusion Prevention System
机译:
SMTP入侵防御系统的ASIC
作者:
Ming-Jen Chen
;
Kuan-Ping Chien
;
Chia-Ying Huang
;
Bo-Chao Cheng
;
Yuan-Sun Chu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
application specific integrated circuits;
electronic mail;
protocols;
security of data;
ASIC;
SMTP attack;
SMTP intrusion prevention system;
email service;
protocol anomaly detection;
simple mail transfer protocol;
spam mail;
unified threat management;
virus detection engine;
10.
Statistics in bilateral domain: Novel statistics of natural images
机译:
双边领域的统计:自然图像的新颖统计
作者:
Chao Wang
;
Lifeng Sun
;
Zhuoyuan Chen
;
Jianwei Zhang
;
Shiqiang Yang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
filtering theory;
image processing;
statistical analysis;
bilateral domain;
classical bilateral filter;
natural image statistics;
nonlinear domain;
very large dataset;
11.
An improved direction-of-arrival estimation via phase information of sparse solution
机译:
基于稀疏解的相位信息的改进的到达方向估计
作者:
Xiansheng Guo
;
Qun Wan
;
Chunqi Chang
;
Lam, E.Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
array signal processing;
direction-of-arrival estimation;
sparse matrices;
DOA;
phase information;
sensor array processing;
sparse solution;
12.
Dynamical equivalencing of large-scale power systems using Second-Order Arnoldi algorithms
机译:
使用二阶Arnoldi算法的大型电力系统动态对等
作者:
Chia-Chi Chu
;
Hung-Chi Tsai
;
Ming-Hong Lai
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
matrix algebra;
power systems;
dynamical equivalent network;
large-scale power system;
second-order Arnoldi algorithm;
second-order swing equation;
second-order system;
13.
Relationship between consecutive frames in generalized harmonics analysis for predictive coding
机译:
预测编码的广义谐波分析中连续帧之间的关系
作者:
Noda, H.
;
Nishihara, A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
audio coding;
correlation methods;
data compression;
harmonic analysis;
GHA;
audio signals;
cross correlation function;
generalized harmonics analysis;
greedily selection algorithm;
multiple consecutive frames;
parameter extraction;
predictive coding;
14.
Quasi-active power factor correction using transformer-assisted driving voltage
机译:
利用变压器辅助驱动电压进行准有功功率因数校正
作者:
Athab, H.S.
;
Lu, D.D.-C.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
DC-DC power convertors;
power conversion harmonics;
power factor correction;
power system control;
transformers;
DC-DC flyback converter;
active switch;
auxiliary PFC circuit;
control circuit;
high power factor;
low harmonic content;
quasiactive power factor correction;
simple input current shaper;
transformer assisted driving voltage;
15.
Analysis of reconfigurable tap changing transformer model through analog emulation
机译:
通过模拟仿真分析可重构抽头变换变压器模型
作者:
Jimenez, J.C.
;
Nwankpa, C.O.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
load flow;
on load tap changers;
power supply quality;
power system stability;
analog emulation;
circuit model design;
digital method;
generator;
power system emulation;
reconfigurable tap changing transformer model;
steady-state emulation;
transmission line;
voltage collapse;
voltage stability;
16.
FPGA implementation of high-frequency software radio receiver
机译:
高频软件无线电接收机的FPGA实现
作者:
Vucic, M.
;
Molnar, G.
;
Butorac, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
analogue-digital conversion;
field programmable gate arrays;
radio receivers;
analog-to-digital converter;
baseband signal processing;
digital subsystem;
field programmable gate array;
high-frequency software radio receiver;
17.
Bondwire-antenna and duplexer-less LNA/PA design for wireless transceivers
机译:
无线收发器的免焊线天线和无双工器LNA / PA设计
作者:
Ahmed, A.
;
Wight, J.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
antenna radiation patterns;
low noise amplifiers;
microwave antennas;
power amplifiers;
radiofrequency identification;
transceivers;
wire antennas;
RFID tags;
bondwire-antenna;
duplexer-less LNA-PA blocks;
frequency 6.5 GHz;
noise figure 15 dB;
noise figure 5.7 dB;
power 9.8 mW;
radiated power;
radiation pattern;
short-range radio communications;
voltage 1.2 V;
wireless transceivers;
18.
High-performance asynchronous intra-chip communication link based on a multiple-valued current-mode single-track scheme
机译:
基于多值电流模式单轨方案的高性能异步芯片内通信链路
作者:
Ohtake, Y.
;
Onizawa, N.
;
Hanyu, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
integrated circuit interconnections;
multichip modules;
control information;
current mode signaling;
high-performance asynchronous data-transfer circuit;
high-performance asynchronous intrachip communication link;
low power dissipation;
multilevel signal;
multiple-valued current-mode single-track scheme;
on-chip communication;
one-bit data;
single wire;
voltage swing;
19.
Exploring compiler optimizations for enhancing power gating
机译:
探索编译器优化以增强功率门控
作者:
Roy, S.
;
Ranganathan, N.
;
Katkoori, S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
electrical faults;
microprocessor chips;
ARM core;
MediaBench suites;
MiBench suites;
circuit level technique;
code transformations;
compiler optimizations;
floating point benchmarks;
instruction set architecture level;
power gating enhancement;
standby leakage reduction;
20.
A performance comparison on asynchronous matched-delay templates
机译:
异步匹配延迟模板的性能比较
作者:
Kok-Leong Chang
;
Bah-Hwee Gwee
;
Yuanjin Zheng
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS logic circuits;
asynchronous circuits;
delays;
CMOS technology;
asynchronous EDA tool;
asynchronous logic;
asynchronous matched-delay template;
asynchronous template libraries;
figure of merit;
power density;
size 0.13 mum;
synchronous logic;
voltage 1.2 V;
21.
On the design of digital control for lab-on-chip systems
机译:
关于芯片实验室系统的数字控制设计
作者:
Mohamed, M.M.A.
;
Youssef, A.A.
;
Ghallab, Y.H.
;
Badawy, W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
biomedical electronics;
digital control;
field programmable gate arrays;
lab-on-a-chip;
FPGA;
biomedical applications;
digital control design;
lab-on-chip systems;
22.
Quantization noise improvement of Time to Digital converter (TDC) for ADPLL
机译:
ADPLL的时间数字转换器(TDC)的量化噪声改进
作者:
Tangudu, J.
;
Gunturi, S.
;
Jalan, S.
;
Janardhanan, J.
;
Ganesan, R.
;
Sahu, D.
;
Waheed, K.
;
Wallberg, J.
;
Staszewski, R.B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
digital signals;
logic gates;
phase locked loops;
quantisation (signal);
ADPLL;
carrier frequency generation;
phase error measurement;
quantization noise improvement;
radio frequency module;
time to digital converter;
23.
A 900 MHz to 5.2 GHz dual-loop feedback multi-band LNA
机译:
900 MHz至5.2 GHz双环反馈多频带LNA
作者:
Jia-Wei Lin
;
Da-Tong Yen
;
Wei-Yi Hu
;
Chu Yu
;
Mao-Hsu Yen
;
Pao-Ann Hsiung
;
Sao-Jie Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
capacitors;
integrated circuit noise;
low noise amplifiers;
switching circuits;
CMOS process;
dual-loop feedback multiband LNA;
frequency 2.4 GHz;
frequency 5.2 GHz;
frequency 900 MHz to 5200 MHz;
gain 17 dB;
gain 19 dB;
gain 21 dB;
gain 26 dB;
multiband low noise amplifier;
noise figure;
noise figure 2.1 dB;
noise figure 2.6 dB;
noise figure 3.5 dB;
power 3.92 mW;
power consumption;
power gain;
size 0.13 mum;
switching capacitor;
voltage 1 V;
24.
Transmitter architecture for faster-than-Nyquist signaling systems
机译:
比奈奎斯特信令系统快的发射机架构
作者:
Dasalukunte, D.
;
Rusek, F.
;
Anderson, J.B.
;
Owall, V.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
OFDM modulation;
communication complexity;
radio transmitters;
Nyquist signaling system;
OFDM based multicarrier system;
complexity analysis;
transmitter architecture;
wireless standard;
25.
All-digital high-dynamic automatic gain control
机译:
全数字高动态自动增益控制
作者:
Vucic, M.
;
Butorac, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
automatic gain control;
radio receivers;
software radio;
FPGA implementation;
all-digital high-dynamic AGC;
analog signal processing;
baseband signal processing;
digital signal processing;
general-purpose multiplier;
high-frequency software radio receiver;
radio receiver;
26.
A frequency domain adaptive filter algorithm with constraints on the output weights
机译:
具有输出权重约束的频域自适应滤波器算法
作者:
Kozacky, W.J.
;
Ogunfunmi, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive filters;
adaptive signal processing;
computational complexity;
frequency-domain analysis;
least mean squares methods;
colored noise environment;
filter magnitude response;
frequency domain adaptive filter algorithm;
least-mean-square algorithm;
minimum disturbance principle;
Block adaptive filters;
constrained adaptive filters;
27.
A novel cross-layer data aggregation approach for extreme values in wireless sensor networks
机译:
一种用于无线传感器网络中极致价值的新型跨层数据聚合方法
作者:
Minglei Huang
;
Yu Hen Hu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
data handling;
energy conservation;
wireless sensor networks;
cross-layer data aggregation;
distributed sensor network data aggregation;
energy efficient aggregation algorithm;
28.
A new variable step-size fractional lower-order moment algorithm for non-Gaussian interference environments
机译:
非高斯干扰环境的一种新的变步长分数阶低阶矩算法
作者:
Tiange Shao
;
Zheng, Y.R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive filters;
interference suppression;
least mean squares methods;
adaptive filtering algorithm;
mean square error method;
nonGaussian interference suppression;
normalized FLOM algorithm;
normalized least mean square algorithm;
variable step-size fractional lower-order moment algorithm;
Least Mean P-Moment (LMP) algorithm;
fractional lower-order moment (FLOM) algorithm;
non-Gaussian interference suppression;
robust adaptive filter;
variable step size;
29.
Tunable Nonlinear Transmission Lines with switched varactors
机译:
带开关变容二极管的可调非线性传输线
作者:
Fan Yu
;
Lyon, K.G.
;
Kan, E.C.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
impedance matching;
silicon-on-insulator;
transmission lines;
tuning;
varactors;
SOI technology;
adaptive impedance;
impedance engineering;
impedance tuning;
reverse edge sharpening phenomena;
size 0.18 mum;
switch parasitics;
switched varactors;
tunable nonlinear transmission line;
waveform shaping;
30.
An efficient algorithm for finding all DC solutions of nonlinear circuits using LP narrowing
机译:
一种使用LP窄化找到非线性电路的所有DC解的有效算法
作者:
Yamamura, K.
;
Tamura, N.
;
Suda, K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
linear programming;
nonlinear network analysis;
DC solutions;
LP narrowing;
interval analysis;
n-dimensional rectangles;
nonlinear circuit equations;
31.
Parameter extraction of HEMT models from multibias s-parameters
机译:
从多偏置s参数提取HEMT模型的参数
作者:
Grabner, M.
;
Dobes, J.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
S-parameters;
high electron mobility transistors;
mean square error methods;
optimisation;
HEMT model;
gate-source voltage;
high electron mobility transistor;
multibias S-parameter;
nonlinear circuit model;
optimization method;
pHEMT device;
parameter extraction;
root-mean-square error;
transconductance;
32.
Last passage time models applied to phase noise of ring oscillators used in some PAN (personal area network) wireless standards
机译:
最后通过时间模型适用于某些PAN(个人局域网)无线标准中使用的环形振荡器的相位噪声
作者:
Leung, B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
low-power electronics;
personal area networks;
phase noise;
radiofrequency oscillators;
telecommunication standards;
PAN wireless standard;
frequency synthesis;
passage time model;
personal area network;
phase noise trade-off;
probability density function;
receiver;
ring oscillator;
33.
Low-complexity reconfigurable complex constant multiplication for FFTs
机译:
适用于FFT的低复杂度可重构复数常数乘法
作者:
Qureshi, F.
;
Gustafsson, O.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational complexity;
fast Fourier transforms;
signal processing;
FFT architecture;
fast Fourier transform;
low-complexity reconfigurable complex constant multiplication;
minimum adder constant multiplier;
twiddle factor multiplication;
34.
Time-multiplexed data flow graph for the design of configurable multiplier block
机译:
用于可配置乘法器模块的时分复用数据流程图
作者:
Jiajia Chen
;
Chip-Hong Chang
;
Ching-Chuen Jong
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
data flow graphs;
formal logic;
high level synthesis;
high level synthesis algorithm;
logic complexity;
minimization problem;
optimization process;
reconfigurable multiplier block;
scheduled time-multiplexed data flow graph;
35.
A 0.9mW microphone uplink system in 65nm CMOS
机译:
0.9nm的65nm CMOS麦克风上行链路系统
作者:
Mohieldin, A.N.
;
Mekky, R.H.
;
Emira, A.
;
Omar, M.
;
Elwan, H.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
microphones;
network synthesis;
operational amplifiers;
preamplifiers;
sigma-delta modulation;
OTA;
and A CMOS process;
continuous-time sigma-delta modulator;
current 100 muA;
current 480 muA;
decimation filter;
frequency 3 MHz;
low-dropout regulator;
low-power microphone uplink system;
operational transconductance amplifier;
power 0.9 mW;
preamplifier;
size 65 nm;
voltage 1.2 V;
voltage 3.3 V;
36.
A 95nW ring oscillator-based temperature sensor for RFID tags in 0.13µm CMOS
机译:
基于95nW环形振荡器的温度传感器,用于0.13µm CMOS中的RFID标签
作者:
Sunghyun Park
;
Changwook Min
;
Seong-Hwan Cho
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
MOSFET;
analogue-digital conversion;
carrier mobility;
oscillators;
radiofrequency identification;
temperature sensors;
CMOS temperature sensor;
MOS transistors;
RFID tags;
analog-digital conversion;
bandgap reference;
digital output code;
nano-watt power consumption;
power 95 nW;
ring oscillator;
size 0.13 mum;
temperature 8 degC to 85 degC;
voltage 0.3 V;
voltage mobility;
word length 10 bit;
37.
Integrated CMOS resistance-to-period converter with parasitic capacitance evaluation
机译:
集成CMOS电阻-周期转换器,具有寄生电容评估
作者:
Di Carlo, C.
;
De Marcellis, A.
;
Stornelli, V.
;
Ferri, G.
;
Flammini, A.
;
Depari, A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
convertors;
gas sensors;
operational amplifiers;
gas sensor application;
high slew-rate characteristic;
integrated CMOS resistance-to-period converter;
low input voltage offset;
low value capacitance;
operational amplifier;
parasitic capacitance evaluation;
system sensitivity;
transistor level;
38.
Computing spike-based convolutions on GPUs
机译:
在GPU上计算基于峰值的卷积
作者:
Nageswaran, J.M.
;
Dutt, N.
;
Yingxue Wang
;
Delbrueck, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computer graphic equipment;
convolution;
feature extraction;
image resolution;
image sensors;
neural nets;
AER vision sensor;
GPUs;
NVIDIA GTX280 board;
address-event-representation;
computing spike-based convolutions;
graphics processing unit;
neuromorphic hardware;
real-time convolution-based nonlinear feature extraction;
spiking neural networks;
39.
A proposed AVS decoder configuration in the reconfigurable video coding framework
机译:
可重配置视频编码框架中建议的AVS解码器配置
作者:
Dandan Ding
;
Honggang Qi
;
Lu Yu
;
Tiejun Huang
;
Wen Gao
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
video coding;
AVS decoder configuration;
RVC simulator;
dataflow mechanism;
functional units;
reconfigurable video coding framework;
video tool library;
40.
A hybrid decoder configuration of MPEG-4 and AVS in reconfigurable video coding framework
机译:
可重构视频编码框架中MPEG-4和AVS的混合解码器配置
作者:
Dandan Ding
;
Lu Yu
;
Lucarz, C.
;
Mattavelli, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
video coding;
AVS video tool library;
MPEG-4 simple profile;
MPEG-4 video tool library;
coding tools;
complexity reduction;
hybrid decoder configuration;
reconfigurable video coding framework;
41.
Integrated charge-pump phase-locked loop with SC-loop filter for capacitive microsensor readout
机译:
集成电荷泵锁相环和SC环路滤波器,用于电容式微传感器读数
作者:
Speeti, T.
;
Aaltonen, L.
;
Halonen, K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
RC circuits;
charge pump circuits;
microsensors;
phase locked loops;
phase noise;
switched capacitor filters;
capacitive microsensor readout;
conventional RC-filter;
frequency 3 kHz to 10 kHz;
high-voltage CMOS technology;
integrated charge-pump phase-locked loop;
size 0.35 mum;
switched capacitor loop filter;
temperature 40 degC to 85 degC;
voltage 2.5 V to 3.6 V;
42.
Modular reduction without precomputational phase
机译:
无需预计算阶段的模块化归约
作者:
Knezevic, M.
;
Batina, L.
;
Verbauwhede, I.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
public key cryptography;
Barrett-Montgomery algorithm;
elliptic curve cryptography;
integer;
modular reduction;
moduli;
public-key cryptosystem;
Barrett reduction;
Montgomery reduction;
elliptic curve cryptography (ECC);
modular multiplication;
public-key cryptography;
43.
Efficient hardware implementation of a new pseudo-random bit sequence generator
机译:
新型伪随机位序列发生器的高效硬件实现
作者:
Katti, R.S.
;
Srinivasan, S.K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
hardware description languages;
random number generation;
random sequences;
VHDL;
blum-blum-shub generator;
hardware implementation;
linear congruential generator;
pseudorandom bit sequence generator;
44.
Low area Elliptic Curve arithmetic unit
机译:
小面积椭圆曲线运算单元
作者:
Fournaris, A.P.
;
Koufopavlou, O.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Galois fields;
digital arithmetic;
field programmable gate arrays;
logic design;
microprocessor chips;
multiplying circuits;
parallel algorithms;
public key cryptography;
FPGA;
Galois field;
affine coordinate plane;
low area elliptic curve arithmetic unit;
multiplication-inversion algorithm;
one dimensional systolic architectural realization;
side channel attack resistance;
small chip covered area;
45.
Data fusion framework for sand detection in pipelines
机译:
用于管道中沙粒检测的数据融合框架
作者:
Abdelgawad, A.
;
Merhi, Z.
;
Elgamel, M.
;
Bayoumi, M.
;
Zaki, A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
acoustic transducers;
fuzzy set theory;
maximum likelihood estimation;
object detection;
pipelines;
reliability;
sensor fusion;
Crossbow MICAz;
SENACO AS100;
TinyOS;
acoustic sensors;
data fusion;
flow analyzer;
fuzzy art;
maximum likelihood estimator;
oil production system;
pipeline sand detection;
receiving and transmission module;
46.
A fully differential CMOS potentiostat
机译:
全差分CMOS稳压器
作者:
Nazari, M.H.
;
Genov, R.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
analogue-digital conversion;
chemical sensors;
potentiometers;
16-bit current-to-frequency quantization;
bidirectional electrochemical redox current;
chemical sensing;
fully differential CMOS potentiostat;
in-channel analog-to-digital converter;
size 0.35 mum;
47.
The computation of line spectrum pair frequencies using Tschirnhaus transform
机译:
使用Tschirnhaus变换计算线谱对频率
作者:
Shi-Huang Chen
;
Yaotsu Chang
;
Chang Jian Yu Syuan
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Newton method;
computational complexity;
linear codes;
polynomials;
speech coding;
transforms;
5-degree LSP polynomial;
Tschirnhaus transform;
line spectrum pair frequencies;
linear predictive coding;
quartic equation;
speech coding system;
48.
Design of digital IIR integrator using discrete Hartley transform interpolation method
机译:
基于离散哈特利变换插值法的数字IIR积分器设计
作者:
Chien-Cheng Tseng
;
Su-Ling Lee
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
IIR filters;
discrete Hartley transforms;
interpolation;
transfer functions;
closed-form design;
digital IIR integrator design;
discrete Hartley transform interpolation method;
discrete-time sequence;
filter coefficient;
numerical integration rule;
transfer function;
49.
Location of exons in DNA sequences using digital filters
机译:
使用数字过滤器在DNA序列中外显子的位置
作者:
Ramachandran, P.
;
Wu-Sheng Lu
;
Antoniou, A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
DNA;
band-pass filters;
bioelectric potentials;
biological techniques;
biology computing;
digital filters;
discrete Fourier transforms;
genomics;
low-pass filters;
molecular biophysics;
proteins;
signal processing;
DNA sequences;
bandpass-filtered signal;
electron-ion interaction potential;
exons location;
filtering technique;
genomes;
lowpass filter;
narrowband bandpass digital filter;
nucleotide location;
numerical sequence;
protein hot spot;
short-time discrete Fourier transform;
electron-ion interaction potential (EIIP);
exons;
narrowband bandpass digital filters;
period-3 property;
resonant recognition model (RRM);
50.
Optimization of structural adders in fixed coefficient transposed direct form FIR filters
机译:
固定系数转置直接形式FIR滤波器中结构加法器的优化
作者:
Faust, M.
;
Chip-Hong Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
FIR filters;
adders;
optimisation;
thyristor applications;
TSMC CMOS libraries;
fixed coefficient FIR filters;
full adder delay;
multiplier blocks;
structural adder block;
structural adder optimization;
synopsys design compiler;
51.
Adaptive PLL-free optical coherent receiver for joint polarization tracking and equalization in Phase-Shift-Keying for next-generation optical communication
机译:
自适应无相干光相干接收器,用于下一代光通信中的相移键控中的联合偏振跟踪和均衡
作者:
Koc, U.-V.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
amplitude shift keying;
light coherence;
optical fibre communication;
optical fibre dispersion;
optical fibre polarisation;
optical modulation;
optical receivers;
optical tracking;
adaptive PLL-free optical coherent receiver;
bit rate 40 Gbit/s;
chromatic dispersion;
equalization;
joint polarization tracking;
on-off-keying modulation;
optical communication;
phase-locked-loop;
phase-shift-keying;
polarization mode dispersion;
52.
Dual-tree complex wavelet transform arising from cosine-sine modulated filter banks
机译:
余弦-正弦调制滤波器组引起的双树复小波变换
作者:
Kyochi, S.
;
Uto, T.
;
Ikehara, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
channel bank filters;
wavelet transforms;
M-band DTCWT;
cosine-sine modulated filter bank;
directional selectivity;
dual-tree complex wavelet transform;
shift-invariance;
53.
Fast mode decision algorithm for inter-frame coding in H.264 extended Scalable Video Coding
机译:
H.264扩展可伸缩视频编码中帧间编码的快速模式决策算法
作者:
Byung-Gyu Kim
;
Reddy, K.
;
Yoon-Young Park
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational complexity;
encoding;
video coding;
H.264 extended scalable video coding;
JSVM 9.12 reference software;
base layer skip;
coarse grain signal-to-noise ratio scalability;
correlative information;
encoding system;
exhaustive block mode search;
fast mode decision algorithm;
inter-frame coding;
inter-mode search;
macroblock;
spatial scalability;
Image coding;
Image motion analysis;
Prediction methods;
Video processing;
54.
An adaptive extension of combined 2D and 1D-directional filter banks
机译:
组合的2D和1D方向滤波器组的自适应扩展
作者:
Tanaka, Y.
;
Ikehara, M.
;
Nguyen, T.Q.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive filters;
image coding;
image denoising;
wavelet transforms;
1D directional filter bank;
2D directional filter bank;
PSNR;
adaptive directional wavelet transform;
visual quality improvement;
55.
New power index model for switching power analysis from adder graph of FIR filter
机译:
从FIR滤波器的加法器图进行开关功率分析的新功率指标模型
作者:
Jiajia Chen
;
Chip-Hong Chang
;
Hanhua Qian
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
FIR filters;
adders;
application specific integrated circuits;
circuit optimisation;
ASIC design flow;
FIR filter;
adder graph;
digital circuits;
dynamic power simulations;
glitch path count;
glitch path score;
multiplier block;
optimization;
switching power analysis;
switching power index;
56.
Joint texture and depth map video coding based on the scalable extension of H.264/AVC
机译:
基于H.264 / AVC可扩展性扩展的联合纹理和深度图视频编码
作者:
Siping Tao
;
Ying Chen
;
Hannuksela, M.M.
;
Ye-Kui Wang
;
Gabbouj, M.
;
Houqiang Li
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
image texture;
video coding;
3D video application;
H.264/AVC;
advanced video coding standard;
chroma sampling format;
scalable video coding;
texture-depth map video coding;
57.
Processing of signals using level-crossing sampling
机译:
使用电平交叉采样处理信号
作者:
Vezyrtzis, C.
;
Tsividis, Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
quantisation (signal);
signal processing;
amplitude quantization;
level-crossing sampling;
signal-to-error ratio;
variable sampling rate;
58.
Uniform circular broadband beamformer with selective frequency and spatial invariant region
机译:
具有选择频率和空间不变区域的均匀圆形宽带波束形成器
作者:
Xin Zhang
;
Wee Ser
;
Muralidhar, K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
array signal processing;
frequency selective surfaces;
optimisation;
array beampattern response;
array gain response;
complex optimization problem;
frequency-invariant beamformer algorithm;
low mean square error method;
objective function;
second order cone programming;
selective frequency invariant region;
spatial invariant region;
uniform circular broadband beamformer;
59.
Adaptive transform size and frame-field selection for efficient VC-1 to H.264 high profile transcoding
机译:
自适应变换大小和帧场选择,用于有效的VC-1至H.264高配置文件转码
作者:
Pantoja, M.
;
Nam Ling
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive codes;
transcoding;
transform coding;
video coding;
adaptive transform size;
block transform size;
drift error;
efficient VC-1-H.264 high profile transcoding;
frame-field selection;
full-cascaded transcoder;
interlaced video;
low frequency AC coefficients;
motion vectors;
peak-signal-to-noise ratio;
video quality;
H.264;
VC-1;
high profile;
visual communications;
60.
A novel digital pixel sensor system
机译:
新颖的数字像素传感器系统
作者:
Yen-Tai Lai
;
Chia-Nan Yeh
;
Chi-Chou Kao
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
adaptive signal processing;
array signal processing;
image processing;
image sensors;
readout electronics;
adaptive-sampling algorithm;
asynchronous self-resetting digital pixel sensor array;
digital pixel sensor system;
human eyes;
imaging system;
readout circuit;
timing control circuit;
Digital Pixel Sensor;
dynamic range;
logarithmic response;
61.
Three dimensional integer rotation transform and improvement of its compatibility
机译:
三维整数旋转变换及其兼容性的提高
作者:
Iwahashi, M.
;
Oguni, K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
computational geometry;
computer graphics;
transforms;
3D integer rotation transform;
multi input lifting branches;
multiplier coefficients;
62.
A wide dynamic range high linearity in-pixel data acquisition front-end for computed tomography
机译:
动态层析成像的宽动态范围高线性像素内数据采集前端
作者:
Bing Liu
;
Jie Yuan
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS image sensors;
CMOS integrated circuits;
SPICE;
analogue-digital conversion;
computerised tomography;
data acquisition;
image resolution;
CMOS pixel;
HSPICE simulations;
computed tomography detectors;
in-pixel acquisition front-end;
low-power analog-to-digital converter;
synchronous partial quantization scheme;
total-harmonic-distortion;
wide dynamic range high linearity in-pixel data acquisition;
63.
Algorithm and architecture design for wide range ELA deinterlacer
机译:
宽范围ELA去隔行器的算法和架构设计
作者:
Rong-Lai Lai
;
Bo-Han Chen
;
Gwo Giun Lee
;
He-Yuan Lin
;
Ming-Juin Wang
;
Yuan-Long Cheng
;
Jia-Wei Liang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
edge detection;
image sequences;
interpolation;
edge directions;
high frequency pattern;
interpolation method;
video sequences;
wide range ELA deinterlacer;
64.
Summation invariant multi-region fusion comparison
机译:
求和不变的多区域融合比较
作者:
Widder, K.
;
Yu Hen Hu
;
Boston, N.
;
Wei-Yang Lin
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
face recognition;
image fusion;
FRGC 2.0;
multiregion face recognition;
summation invariant multiregion fusion;
65.
Modeling, analysis, and TCAD of nanoscale devices and circuits
机译:
纳米级器件和电路的建模,分析和TCAD
作者:
Ching-Te Chuang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
MOSFET;
Monte Carlo methods;
electron-phonon interactions;
mixed analogue-digital integrated circuits;
statistical analysis;
technology CAD (electronics);
Monte Carlo algorithm;
TCAD;
atomistic simulations;
electron-phonon interaction;
long term degradations;
mixed-mode simulations;
nanoscale circuits;
nanoscale devices;
nonplanar FinFET device structure;
phonon Boltzmann transport;
quantum mechanical effects;
ultra-thin silicon film;
66.
Bridging technology-CAD and design-CAD for variability aware Nano-CMOS circuits
机译:
桥接技术-CAD和设计CAD-具备可变性的Nano-CMOS电路
作者:
Harish, B.P.
;
Bhat, N.
;
Patil, M.B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CAD;
CMOS integrated circuits;
integrated circuit design;
nanotechnology;
optimisation;
statistical analysis;
CMOS scaling;
circuit metrics;
design-CAD;
integrated circuits-optimization;
semiconductor process parameters;
statistical techniques;
technology-CAD;
variability aware nano-CMOS circuit design;
67.
Implementation and prototyping of a complex multi-project system-on-a-chip
机译:
复杂的多项目片上系统的实现和原型设计
作者:
Chun-Ming Huang
;
Chien-Ming Wu
;
Chih-Chyau Yang
;
Wei-De Chien
;
Shih-Lun Chen
;
Chi-Shi Chen
;
Jiann-Jenn Wang
;
Chin-Long Wey
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS logic circuits;
formal verification;
hardware-software codesign;
system-on-chip;
CMOS generic logic process;
CONCORD;
complex multiproject system-on-a-chip;
configurable SoC prototyping system;
hardware-software codesign virtual platform;
heterogeneous SoC projects;
multiprojects platform;
silicon prototyping methodology;
system modeling;
verification platform;
68.
Efficient hardware implementation of hybrid cosine-fourier-wavelet transforms on a single FPGA
机译:
混合余弦-傅立叶小波变换在单个FPGA上的高效硬件实现
作者:
Wahid, K.
;
Shimu, S.
;
Islam, M.
;
Teng, D.
;
Moon Ho Lee
;
Ko, S.-B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Fourier transforms;
field programmable gate arrays;
discrete cosine transform;
hardware implementation;
hybrid architecture;
hybrid cosine-Fourier-wavelet transforms;
single FPGA;
three 8-point transforms;
69.
Streaming implementation of the ZLIB decoder algorithm on an FPGA
机译:
ZLIB解码器算法在FPGA上的流式实现
作者:
Zaretsky, D.C.
;
Mittal, G.
;
Banerjee, P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
data compression;
decoding;
field programmable gate arrays;
hardware description languages;
software architecture;
RTL VHDL;
Xilinx Virtex-5 FPGA board;
ZLIB decoder algorithm;
fine-grain parallelism;
network interface;
real-time system;
sequential software algorithm;
70.
Design and implementation of a Low-power Intensity Pulsed-Ultrasound generator for dental tissue regeneration
机译:
用于牙科组织再生的低功率强度脉冲超声发生器的设计与实现
作者:
Woon Tiong Ang
;
Scurtescu, C.
;
Wing Hoy
;
El-Bialy, T.
;
Ying Tsui
;
Jie Chen
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
bioelectric phenomena;
biological tissues;
biomedical transducers;
biomedical ultrasonics;
dentistry;
integrated circuits;
Dalsa Semiconductor Inc;
dental tissue regeneration;
digital controller circuitry;
discrete components;
driver circuit;
high-voltage technology;
impedance-matching circuit;
integrated circuit;
low-power intensity pulsed-ultrasound generator;
power efficiency;
power supply subsystem;
transducer resonance frequency;
ultrasonic transducer;
Low Intensity Pulsed Ultrasound (LIPUS);
Ultrasonic Generator;
71.
Grouping of brain MR images via affinity propagation
机译:
通过亲和力传播对大脑MR图像进行分组
作者:
Gang Li
;
Lei Guo
;
Tianming Liu
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
biomedical MRI;
brain;
cluster approximation;
image segmentation;
medical image processing;
neurophysiology;
OASIS neuroimaging;
affinity propagation;
brain MR images;
clustering;
tissue segmentation;
72.
Design and characterization of a silver-enhanced gold nanoparticle-based biochip
机译:
基于银的金纳米颗粒生物芯片的设计与表征
作者:
Yang Liu
;
Deng Zhang
;
Alocilja, E.
;
Chakrabartty, S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
bioMEMS;
biosensors;
chromatography;
gold;
lab-on-a-chip;
microelectrodes;
microorganisms;
nanoparticles;
silver;
Ag;
Au;
MEMS technology;
biosensor;
conductimetric biochip signal amplification;
distance 4 mum;
gold nanoparticle;
high-density interdigital microelectrode array;
immunochromatographic assay;
pathogen detection;
silver-enhanced labeling method;
biochip;
microelectrodes array;
silver enhancement;
73.
A hybrid thin-film/CMOS fluorescence contact imager
机译:
混合薄膜/ CMOS荧光接触式成像仪
作者:
Singh, R.R.
;
Ho, D.
;
Nilchi, A.
;
Genov, R.
;
Gulak, G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS image sensors;
integrated optoelectronics;
optical filters;
optical images;
optical sensors;
thin film circuits;
CMOS fluorescence contact imager;
Cy3 fluorophore spot;
fluorophore concentration;
high-performance optical filter;
hybrid thin film-CMOS microsystem;
low-cost pen-sized laser;
optical density;
size 0.35 mum;
74.
LDPC decoder design for IEEE 802.15 standard
机译:
符合IEEE 802.15标准的LDPC解码器设计
作者:
Jin Sha
;
Jun Lin
;
Li Li
;
Gao Minglun
;
Zhongfeng Wang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
decoding;
parity check codes;
personal area networks;
IEEE 802.15 standard;
LDPC decoder design;
bit rate 2.8 Gbit/s;
code rate flexibility;
low density parity check code;
message memory requirement;
wireless personal area network;
75.
Multirate double-sampling hybrid CT/DT sigma-delta modulators for wideband applications
机译:
适用于宽带应用的多速率双采样混合CT / DTΣ-Δ调制器
作者:
Maghami, M.H.
;
Yavari, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
broadband networks;
sigma-delta modulation;
signal processing;
CT-DT sigma-delta modulator;
amplifier bandwidth;
continuous-time modulator;
discrete-time modulator;
multirate double-sampling cascaded hybrid modulator;
multirate signal processing;
power consumption;
sampling frequency;
wideband application;
76.
Automatic tuning of time constants in single bit continuous-time delta-sigma modulators
机译:
在单位连续时间delta-sigma调制器中自动调整时间常数
作者:
Saxena, S.
;
Sankar, P.
;
Pavan, S.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
continuous time systems;
delta-sigma modulation;
tuning;
automatic tuning;
continuous-time delta-sigma modulators;
in-situ analog technique;
loop filter;
time constant shifts;
time-constant tuning;
77.
A bandpass continuous-time ΣΔ modulator using a parallel-DAC to reduce jitter sensitivity
机译:
使用并行DAC的带通连续时间ΣΔ调制器以降低抖动灵敏度
作者:
Adachi, F.
;
Machida, K.
;
Waho, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
circuit feedback;
jitter;
sigma-delta modulation;
bandpass continuous-time SigmaDelta modulator;
bandpass continuous-time delta-sigma modulator;
feedback path;
jitter effects;
jitter sensitivity;
parallel-DAC;
parallel-connected unit-DAC;
78.
Minimizing noise via shield and repeater insertion
机译:
通过屏蔽和中继器插入将噪声降至最低
作者:
Jakushokas, R.
;
Friedman, E.G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
integrated circuit design;
integrated circuit noise;
shielding;
coupling noise;
delay;
design expressions;
noise minimisation;
parabolic behavior;
power dissipation;
repeater insertion;
resource optimization;
shield;
79.
Understanding loading effects of RC uniform interconnects
机译:
了解RC统一互连的负载效应
作者:
Alioto, M.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
capacitance;
electric admittance;
electric resistance;
integrated circuit design;
integrated circuit interconnections;
CAD tool;
CMOS technology;
RC uniform interconnects;
capacitive shielding effect;
driving circuit;
input admittance;
loading effect;
reduced order model;
resistive shielding effect;
size 65 nm;
80.
Carbon nanotube interconnects for low-power high-speed applications
机译:
用于低功率高速应用的碳纳米管互连
作者:
Alam, N.
;
Kureshi, A.K.
;
Hasan, M.
;
Arslan, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
VLSI;
carbon nanotubes;
field effect transistors;
high-speed integrated circuits;
integrated circuit interconnections;
low-power electronics;
nanotechnology;
transmission lines;
C;
CMOS driver;
VLSI applications;
carbon nanotube field effect transistor driver;
carbon nanotube interconnects;
high-speed interconnects;
low-power interconnects;
nanotechnology node;
power dissipation;
size 32 nm;
transmission line;
81.
Shielding methodologies in the presence of power/ground noise
机译:
存在电源/地面噪声时的屏蔽方法
作者:
Kose, S.
;
Salman, E.
;
Friedman, E.G.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
crosstalk;
coupling capacitance;
coupling noise;
crosstalk noise;
driver resistances;
mutual inductance;
power/ground noise;
shield insertion method;
shielding methodology;
signal integrity;
size 65 nm;
82.
On the design of power-rail esd clamp circuit with consideration of gate leakage current in 65-nm low-voltage CMOS process
机译:
在65nm低压CMOS工艺中考虑栅极泄漏电流的电源轨esd钳位电路的设计
作者:
Ming-Dou Ker
;
Po-Yen Chiu
;
Fu-Yi Tsai
;
Yeong-Jar Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
electrostatic discharge;
integrated circuit testing;
leakage currents;
network synthesis;
current 228 nA;
gate leakage current;
human-body-model;
low-leakage power-rail electrostatic discharge clamp circuit;
low-voltage CMOS process;
machine-model ESD tests;
power-rail ESD clamp circuit design;
silicon chip;
temperature 25 C;
voltage 750 V;
83.
Sampled-data IIR filtering using time-mode signal processing circuits
机译:
使用时间模式信号处理电路的采样数据IIR滤波
作者:
Guttman, M.M.
;
Roberts, G.W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
Chebyshev filters;
IIR filters;
low-pass filters;
signal processing;
CMOS process;
low-pass Chebyshev filter;
low-pass IIR filter;
sampled-data IIR filtering;
time-mode signal processing circuits;
84.
A novel operational amplifier for low-voltage low-power SC circuits
机译:
用于低压低功率SC电路的新型运算放大器
作者:
Mingjun Fan
;
Junyan Ren
;
Yao Guo
;
Yuanwen Li
;
Fan Ye
;
Ning Li
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
analogue-digital conversion;
cascade networks;
feedback amplifiers;
harmonic distortion;
low-power electronics;
operational amplifiers;
sample and hold circuits;
switched capacitor networks;
class-AB architecture;
frequency 19.1 MHz;
frequency 40 MHz;
level-shifters;
low-voltage low-power switched capacitor circuits;
nested cascode Miller compensation;
operational amplifier;
pipelined ADC;
power 5.5 mW;
sample-and-hold;
signal-to-noise-and-distortion ratio;
spurious-free dynamic range;
symmetric common-mode feedback circuits;
total harmonic distortion;
voltage 1.2 V;
word length 11 bit;
85.
Convergence behaviors of the fast LMM/Newton algorithm with Gaussian inputs and contaminated Gaussian noise
机译:
具有高斯输入和受污染高斯噪声的快速LMM / Newton算法的收敛行为
作者:
Chan, S.C.
;
Zhou, Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Gaussian noise;
Newton method;
adaptive filters;
difference equations;
filtering theory;
impulse noise;
least mean squares methods;
numerical stability;
Gaussian inputs;
M-estimate function minimization;
Newton adaptive filtering algorithm;
contaminated Gaussian noise;
convergence behaviors;
fast LMM algorithm;
impulsive noise;
least mean M-estimate;
mean behaviors;
mean square behaviors;
robust filtering;
robust statistics;
stability;
step size bound;
86.
A moving sound source tracking based on two successive algorithms
机译:
基于两个连续算法的运动声源跟踪
作者:
Tsuji, D.
;
Suyama, K.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
acoustic generators;
acoustic radiators;
acoustic signal processing;
eigenvalues and eigenfunctions;
signal classification;
signal resolution;
MUSIC spectrum function;
PAST algorithm;
eigendecomposition;
multiple signal classification;
single moving sound source tracking;
sound source tracking;
87.
A fast direction predictor of inter frame prediction for multi-view video coding
机译:
用于多视图视频编码的帧间预测的快速方向预测器
作者:
Jheng-Ping Lin
;
Tang, A.C.-W.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
image enhancement;
motion compensation;
prediction theory;
rate distortion theory;
video coding;
base view;
disparity compensation prediction;
enhancement view;
fast direction predictor;
inter frame prediction;
motion compensation prediction;
multiview video coding;
rate-distortion optimization;
88.
Unsupervised analysis of human behavior based on manifold learning
机译:
基于流形学习的人类行为无监督分析
作者:
Yu-Ming Liang
;
Sheng-Wen Shih
;
Shih, A.C.-C.
;
Liao, H.-Y.M.
;
Cheng-Chung Lin
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
behavioural sciences computing;
feature extraction;
image segmentation;
image sequences;
pattern clustering;
pose estimation;
time series;
unsupervised learning;
dynamic time warping;
human action sequence clustering;
isometric feature mapping algorithm;
manifold learning;
nearest neighbor rule;
pairwise human posture distance matrix;
temporal segmentation technique;
time-series;
unsupervised human behavior analysis;
89.
Distinguishing falsification of human faces from true faces based on optical flow information
机译:
根据光流信息区分人脸与真实面孔的伪造
作者:
Chia-Ming Wang
;
Hsu-Yung Cheng
;
Kuo-Chin Fan
;
Chih-Chang Yu
;
Feng-Yang Hsieh
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
Bayes methods;
face recognition;
image classification;
image enhancement;
image motion analysis;
image sequences;
learning (artificial intelligence);
vectors;
Bayes classifier;
LDA-based training method;
face recognition system;
face verification system;
feature vector;
human faces falsification;
motion model;
optical flow information;
true face;
90.
Resource constrained VLSI architecture for implantable neural data compression systems
机译:
用于植入式神经数据压缩系统的资源受限的VLSI架构
作者:
Kamboh, A.M.
;
Oweiss, K.G.
;
Mason, A.J.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
VLSI;
data compression;
discrete wavelet transforms;
microelectrodes;
neurophysiology;
patient diagnosis;
runlength codes;
time-frequency analysis;
CMOS;
DWT;
data telemetry bottlenecks;
discrete wavelet transform;
high-density microelectrode arrays;
implantable neural data compression systems;
intra-cortical neural interface applications;
lossless compression schemes;
neural recordings;
power 3 mW;
resource constrained VLSI architecture;
run length encoding;
size 0.5 mum;
time-frequency domain processing;
91.
Block-matching-based CMOS optical flow sensor using only-nearest-neighbor computation
机译:
仅基于最近邻计算的基于块匹配的CMOS光流量传感器
作者:
Fukuoka, Y.
;
Shibata, T.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS image sensors;
image matching;
image motion analysis;
image sequences;
SIMD;
block-matching-based CMOS optical flow sensor;
interconnect structure;
moving image processing;
nanosim simulation;
only-nearest-neighbor computation;
single instruction multiple data;
size 0.18 mum;
92.
Stereo correspondence based on rotation invariant correlation
机译:
基于旋转不变相关的立体对应
作者:
Zhi-Wei Gao
;
Wen-Kuo Lin
;
Yu-Shian Shen
;
Chia Yen Lin
;
Chih-Cheng Chang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
correlation methods;
image enhancement;
image matching;
stereo image processing;
block-based stereo matching;
correlation-based stereo algorithm;
rotation invariant correlation method;
93.
A rectifier-free piezoelectric energy harvester circuit
机译:
无整流器的压电能量收集器电路
作者:
Dongwon Kwon
;
Rincon-Mora, G.A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS integrated circuits;
energy harvesting;
inductors;
piezoelectric transducers;
rectifying circuits;
CMOS design circumvents;
microscale integration constrains;
noninvasive intelligence;
piezoelectric transducer;
rectifier-free piezoelectric energy harvester circuit;
size 2 mum;
switched inductor;
voltage 1.5 V;
voltage 3 V;
wireless microsensors;
94.
A mismatch calibrated bipolar spatial contrast AER retina with adjustable contrast threshold
机译:
失配校准的双极空间对比AER视网膜,对比度阈值可调
作者:
Lenero-Bardallo, J.A.
;
Serrano-Gotarredona, T.
;
Linares-Barranco, B.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
biomedical electronics;
biosensors;
data compression;
eye;
image sensors;
Boahen biharmonic operator contrast circuit;
address event representation;
adjustable contrast threshold;
bioinspired sensory systems;
data flow compression;
mismatch calibrated bipolar spatial contrast AER retina;
pixel contrast computation circuit;
thresholding mechanism;
visual sensors;
voltage biasing scheme;
95.
A drive circuit for piezoelectric devices with low harmonics content
机译:
低谐波含量的压电装置的驱动电路
作者:
Ozeri, S.
;
Shmilovitz, D.
;
Chua-Chin Wang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
analogue circuits;
circuit resonance;
driver circuits;
dynamic response;
harmonic distortion;
invertors;
piezoelectric transducers;
AC source;
drive circuit;
inverter;
load power control;
low harmonic distortion;
phase shifted resonant leg;
piezoelectric device;
piezoelectric transducer;
96.
Experimental results on power efficient single-poly floating gate rectifiers
机译:
节能单多晶硅浮栅整流器的实验结果
作者:
Peters, C.
;
Handwerker, J.
;
Henrici, F.
;
Ortmanns, M.
;
Manoli, Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
CMOS analogue integrated circuits;
rectifying circuits;
solid-state rectifiers;
CMOS integrated rectifier;
floating gate techniques;
full wave rectifier;
half wave rectifier;
power efficient single poly floating gate rectifiers;
single poly floating gate diode;
size 0.35 mum;
threshold voltage;
97.
An automated algorithm to generate stream programs
机译:
生成流程序的自动化算法
作者:
Lei Gao
;
Mittal, G.
;
Zaretsky, D.
;
Schonfeld, D.
;
Banerjee, P.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
field programmable gate arrays;
memory architecture;
pipeline processing;
reconfigurable architectures;
FPGA based platform;
automated algorithm;
flexible memory architectures;
reconfigurable systems;
stream program generation;
98.
Energy budget and high-gain strategies for voltage-constrained electrostatic harvesters
机译:
电压受限静电采集器的能量预算和高增益策略
作者:
Torres, E.O.
;
Rincon-Mora, G.A.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
electrostatic motors;
microsensors;
wireless sensor networks;
CMOS harvesters;
electrostatic harvesters;
energy budget;
high gain strategies;
voltage constrained;
wireless microsensors;
99.
Surge current minimization in high-level synthesis
机译:
高级合成中的浪涌电流最小化
作者:
Jheng-Fu Yeh
;
Chun-Hua Cheng
;
Shih-Hsu Huang
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
integer programming;
leakage currents;
linear programming;
logic circuits;
network synthesis;
circuit malfunction;
functional unit binding;
high-level synthesis;
idle functional unit;
integer linear program;
operation scheduling;
surge current minimization;
100.
Signal-dependent variable-resolution quantization for continuous-time digital signal processing
机译:
信号相关的可变分辨率量化,用于连续时间数字信号处理
作者:
Kurchuk, M.
;
Tsividis, Y.
会议名称:
《IEEE International Symposium on Circuits and Systems;ISCAS 2009》
|
2009年
关键词:
quantisation (signal);
signal resolution;
continuous-time digital signal processing;
signal-dependent variable-resolution quantization;
意见反馈
回到顶部
回到首页