掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Low Power Electronics and Design
International Symposium on Low Power Electronics and Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Next-generation wireless technologies trends for ultra low energy
机译:
超低能耗的下一代无线技术趋势
作者:
Miyahara Yasunori
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
RFCMOS;
circuits;
millimeter wave;
system;
technology;
wireless;
2.
Low-power and high-performance technologies for mobile SoC in LTE era
机译:
LTE时代的移动SoC低功耗和高性能技术
作者:
Hattori Toshihiro
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
CMOS;
LTE;
circuits;
low power;
technology;
3.
Copyright page
机译:
版权页
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
4.
ISLPED 2011 additional reviewers
机译:
ISLPED 2011额外审阅者
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
5.
The whys and hows of thermal management
机译:
热管理的原理和方法
作者:
Sapatnekar Sachin S.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
3D ICs;
Reliability;
Thermal management;
6.
Holistic low power solutions for the new world
机译:
面向新世界的整体低功耗解决方案
作者:
Kim Kee Sup
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Co-optimization;
Green Technology;
Low Power;
7.
Designing ultra-low voltage logic
机译:
设计超低压逻辑
作者:
Sakurai Takayasu
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Low power;
Low voltage;
VinfTH/inf variation;
VDDmin;
VLSI;
energy efficiency;
hold time;
8.
Ultra-low-voltage operation: Device perspective
机译:
超低压运行:设备角度
作者:
Hiramoto Toshiro
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
CMOS;
SRAM;
fully depleted SOI;
tunnel FET;
variability;
9.
Making TSUBAME2.0, the world's greenest production supercomputer, even greener — Challenges to the architects
机译:
打造世界上最绿色的生产超级计算机TSUBAME2.0,甚至更加绿色-建筑师面临的挑战
作者:
Matsuoka Satoshi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
HPC;
TSUBAME;
energy efficiency;
green computing;
low power;
supercomputing;
10.
Green high performance storage class memory NAND flash memory hybrid SSD system
机译:
绿色高性能存储级内存与NAND闪存混合SSD系统
作者:
Takeuchi Ken
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
ECC;
NAND flash memory;
SSD;
signal processing;
storage class memory;
11.
The K computer: Japanese next-generation supercomputer development project
机译:
K计算机:日本下一代超级计算机开发项目
作者:
Yokokawa Mitsuo
;
Shoji Fumiyoshi
;
Uno Atsuya
;
Kurokawa Motoyoshi
;
Watanabe Tadashi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
K Computer;
Next-Generation Super-comuter;
SPARC64 VIIIfx;
Tofu Interconnect Network;
12.
3D Super chip technology to achieve low-power and high-performance system-on-a chip
机译:
3D超级芯片技术可实现低功耗和高性能的单芯片系统
作者:
Koyanagi Mitsumasa
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Three-dimensional (3D) LSI;
Through-Si via (TSV);
microbump;
self-assembly;
13.
Software power optimization: Analysis and optimization for energy-efficient software
机译:
软件功能优化:节能软件的分析和优化
作者:
Sabharwal Manuj R
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
energy aware computing;
power optimization;
software optimization;
14.
Author index
机译:
作者索引
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
15.
A low-power referenceless clock and data recovery circuit with clock-edge modulation for biomedical sensor applications
机译:
具有生物医学传感器应用的时钟边缘调制的低功耗无参考时钟和数据恢复电路
作者:
Kim Sunkwon
;
Woo Jong-Kwan
;
Shin Woo-Yeol
;
Hong Gi-Moon
;
Lee Hyongmin
;
Lee Hyunjoong
;
Kim Suhwan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Clock and data recovery (CDR);
clock-edge modulation;
referenceless CDR;
relaxation oscillator;
16.
A CMOS readout integrated circuit with wide dynamic range for a CNT bio-sensor array system
机译:
用于CNT生物传感器阵列系统的具有宽动态范围的CMOS读出集成电路
作者:
Lee Hyunjoong
;
Lee Hyongmin
;
Woo Jong-Kwan
;
Kim Sunkwon
;
Park Young June
;
Kim Suhwan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
CMOS;
bio-sensor;
carbon nanotube;
current-input;
delta-sigma;
dynamic range;
readout integrated circuit;
17.
A low-power direct digital frequency synthesizer using an analogue-sine-conversion technique
机译:
采用模拟正弦转换技术的低功耗直接数字频率合成器
作者:
Weng Jun-Hong
;
Yang Ching-Yuan
;
Jhu Yi-Lin
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
DAC;
ROM-less architecture;
analogue-Sine-Conversion;
direct digital frequency synthesizer (DDFS);
18.
Energy efficient E-Textile based portable keyboard
机译:
基于节能电子纺织的便携式键盘
作者:
Rofouei Mahsan
;
Potkonjak Miodrag
;
Sarrafzadeh Majid
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
E-Textiles;
Sensing;
Soft Keyboard;
19.
A 92.4dB SNDR 24kHz ΔΣ modulator consuming 352μW
机译:
功耗为352μW的92.4dB SNDR 24kHzΔΣ调制器
作者:
Liu Liyuan
;
Li Dongmei
;
Ye Yafei
;
Wang Zhihua
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
ΔΣ modulator;
feed-forward;
low power;
low voltage;
multi-bit;
successive-approximation;
20.
Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous FPGA
机译:
可重构门级流水线和功率门控自同步FPGA中的最低能耗操作
作者:
Devlin Benjamin
;
Ikeda Makoto
;
Asada Kunihiro
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
21.
A 1.2V 55mW 12bits self-calibrated dual-residue analog to digital converter in 90 nm CMOS
机译:
一个采用90 nm CMOS的1.2V 55mW 12bits自校准双残留模数转换器
作者:
Zjajo Amir
;
de Gyvez Jose Pineda
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
analog to digital converter;
calibration;
dual residue technique;
process variation monitoring;
22.
A comparator-based cyclic analog-to-digital converter with boosted preset voltage
机译:
基于比较器的循环模数转换器,具有增强的预设电压
作者:
Woo Jong-Kwan
;
Kim Tae-Hoon
;
Lee Hyongmin
;
Kim Sunkwon
;
Lee Hyunjoong
;
Kim Suhwan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
boosted preset voltage;
comparator-based switched-capacitor circuit (CBSC);
cyclic ADC;
switched-capacitor circuit;
23.
Energy harvesting by sweeping voltage-escalated charging of a reconfigurable supercapacitor array
机译:
通过扫描可升级的超级电容器阵列的升压充电来收集能量
作者:
Kim Sehwan
;
Chou Pai H.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
24.
A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems
机译:
一种与太阳能高性能无线嵌入式系统一致的监控结果的调度算法
作者:
Dondi Denis
;
Zappi Piero
;
Rosing Tajana Simunic
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Energy Harvesting and Energy Prediction;
High Performance Wireless Embedded Systems;
Task Scheduling Algorithm;
25.
A design space exploration of transmission-line links for on-chip interconnect
机译:
片上互连传输线链路的设计空间探索
作者:
Carpenter Aaron
;
Hu Jianyun
;
Huang Michael
;
Wu Hui
;
Liu Peng
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Design Space Study;
On-chip Interconnect;
Transmission Line;
26.
A dynamic body-biased SRAM with asymmetric halo implant MOSFETs
机译:
具有不对称晕环注入MOSFET的动态偏置偏置SRAM
作者:
Yabuuchi Makoto
;
Tsukamoto Yasumasa
;
Fujiwara Hidehiro
;
Tawa Shigeki
;
Maekawa Koji
;
Igarashi Motoshige
;
Nii Koji
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
SRAM;
asymmetric MOSFET;
dynamic body-bias;
variation;
27.
A 1kb 9T subthreshold SRAM with bit-interleaving scheme in 65nm CMOS
机译:
在65nm CMOS中具有位交错方案的1kb 9T亚阈值SRAM
作者:
Chang Ming-Hung
;
Chiu Yi-Te
;
Lai Shu-Lin
;
Hwang Wei
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
bit-interleaving scheme;
subthreshold SRAM;
ultra-low power;
28.
A 98 GMACs/W 32-core vector processor in 65nm CMOS
机译:
采用65nm CMOS的98 GMACs / W 32核矢量处理器
作者:
He Xun
;
Zhou Dajiang
;
Jin Xin
;
Goto Satoshi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Cache coherence;
Multicore Processor;
NoC;
SIMD;
29.
A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems
机译:
快速,准确,简单的关键路径监控器,用于改善DVS系统中的能源延迟产品
作者:
Park Junyoung
;
Abraham Jacob A.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
30.
Energy efficient scheduling for multithreaded programs on general-purpose processors
机译:
通用处理器上多线程程序的节能调度
作者:
Fan Xin
;
Kusakabe Shigeru
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
energy saving;
operating system;
thread scheduling;
31.
Fast thermal simulation of 2D/3D integrated circuits exploiting neural networks and GPUs
机译:
利用神经网络和GPU对2D / 3D集成电路进行快速热仿真
作者:
Vincenzi Alessandro
;
Sridhar Arvind
;
Ruggiero Martino
;
Atienza David
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
32.
TLB index-based tagging for cache energy reduction
机译:
基于TLB索引的标记可减少缓存能量
作者:
Lee Jongmin
;
Hong Seokin
;
Kim Soontae
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Cache Tagging;
Energy;
TLB;
33.
Analysis of power-performance for Ultra-Thin-Body GeOI logic circuits
机译:
超薄型GeOI逻辑电路的功率性能分析
作者:
Hu Vita Pi-Ho
;
Fan Ming-Long
;
Su Pin
;
Chuang Ching-Te
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Germanium-On-Insulator (GeOI);
Ultra-Thin-Body (UTB);
band-to-band tunneling;
logic circuits;
power-performance;
34.
NoC frequency scaling with flexible-pipeline routers
机译:
使用灵活流水线路由器进行NoC频率缩放
作者:
Zhou Pingqiang
;
Yin Jieming
;
Zhai Antonia
;
Sapatnekar Sachin S.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Chip Multiprocessors;
Frequency Scaling;
Interconnects;
NoC;
Pipelined Router;
Voltage Scaling;
35.
IMPACT: IMPrecise adders for low-power approximate computing
机译:
影响:用于低功耗近似计算的不精确加法器
作者:
Gupta Vaibhav
;
Mohapatra Debabrata
;
Park Sang Phill
;
Raghunathan Anand
;
Roy Kaushik
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Approximate computing;
Low-power;
Mirror adder;
36.
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs
机译:
电压缩放位交错的8-T SRAM的变化感知静态和动态可写性分析
作者:
Kim Daeyeon
;
Chandra Vikas
;
Aitken Robert
;
Blaauw David
;
Sylvester Dennis
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Dynamic Write Margin;
Half select Disturb;
Memory;
SRAM;
37.
Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems
机译:
混合电能存储(HEES)系统中的电荷迁移效率优化
作者:
Wang Yanzhi
;
Kim Younghyun
;
Xie Qing
;
Chang Naehyuck
;
Pedram Massoud
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
charge management;
charge migration;
hybrid electrical energy storage;
38.
8T Single-ended sub-threshold SRAM with cross-point data-aware write operation
机译:
具有交叉点数据感知写操作的8T单端亚阈值SRAM
作者:
Chiu Yi-Wei
;
Lin Jihi-Yu
;
Tu Ming-Hsien
;
Jou Shyh-Jye
;
Chuang Ching-Te
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Data-Aware Write Operation;
SRAM;
Static Random Access Memory;
39.
Reduction of minimum operating voltage (V
DDmin
) of CMOS logic circuits with post-fabrication automatically selective charge injection
机译:
预制后自动选择电荷注入降低CMOS逻辑电路的最小工作电压(V
DDmin inf>)
作者:
Honda Kentaro
;
Ikeuchi Katsuyuki
;
Nomura Masahiro
;
Takamiya Makoto
;
Sakurai Takayasu
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
40.
New power-aware placement for region-based FPGA architecture combined with dynamic power gating by PCHM
机译:
基于区域的FPGA架构的新功耗感知布局与PCHM的动态功耗门控相结合
作者:
Li Ce
;
Dong Yiping
;
Watanabe Takahiro
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
41.
Column-selection-enabled 8T SRAM array with ∼1R/1W multi-port operation for DVFS-enabled processors
机译:
启用列选择的8T SRAM阵列,具有〜1R / 1W多端口操作,用于启用DVFS的处理器
作者:
Park Sang Phill
;
Kim Soo Youn
;
Lee Dongsoo
;
Kim Jae-Joon
;
Griffin W. Paul
;
Roy Kaushik
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
8T SRAM;
Cache Memory;
DVFS;
Low-Power;
42.
OS-level power minimization under tight performance constraints in general purpose systems
机译:
通用系统中在严格的性能约束下将OS级功耗最小化
作者:
Ayoub Raid
;
Ogras Umit
;
Gorbatov Eugene
;
Jin Yanqin
;
Kam Timothy
;
Diefenbaugh Paul
;
Rosing Tajana
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
DVFS;
Multiprocessor;
Operating system;
Performance;
Power;
43.
Fast and energy-efficient constant-coefficient FIR filters using residue number system
机译:
使用残数系统的快速高效节能恒系数FIR滤波器
作者:
Patronik Piotr
;
Berezowski Krzysztof
;
Piestrak Stanislaw J.
;
Biernat Janusz
;
Shrivastava Aviral
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
44.
Software energy estimation based on statistical characterization of intermediate compilation code
机译:
基于中间编译代码统计特征的软件能量估计
作者:
Brandolese Carlo
;
Corbetta Simone
;
Fornaciari William
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
45.
TG-based technique for NBTI degradation and leakage optimization
机译:
基于TG的NBTI降级和泄漏优化技术
作者:
Lin Chin-Hung
;
Lin Ing-Chao
;
Li Kuan-Hui
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Aging;
Leakage Reduction;
NBTI;
Performance Degradation;
Reliability;
Transmission Gate;
46.
Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits
机译:
分析和缓解NBTI引起的功率门控电路性能下降
作者:
Wu Kai-Chiang
;
Marculescu Diana
;
Lee Ming-Chao
;
Chang Shih-Chieh
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Aging;
Leakage;
NBTI;
Power gating;
Reverse body bias;
47.
Experimental investigation of inductorless, single-stage boost rectification for sub-mW electromagnetic energy harvesters
机译:
低于mW电磁能量收集器的无电感单级升压整流的实验研究
作者:
Szarka Gyorgy D.
;
Proynov Plamen P.
;
Stark Bernard H.
;
Burrow Stephen G.
;
McNeill Neville
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
AC-DC power converters;
Low power electronics;
energy harvesting;
rectifiers;
48.
Near-/sub-threshold DLL-based clock generator with PVT-aware locking range compensation
机译:
基于近阈值/亚阈值DLL的时钟发生器,具有PVT感知的锁定范围补偿
作者:
Chang Ming-Hung
;
Hsieh Chung-Ying
;
Chen Mei-Wei
;
Hwang Wei
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
PVT-aware design;
delay-locked loop;
near-/sub-threshold circuits;
49.
Investigation of determinant factors of minimum operating voltage of logic gates in 65-nm CMOS
机译:
65nm CMOS中逻辑门最小工作电压的决定因素研究
作者:
Yasufuku Tadashi
;
Iida Satoshi
;
Fuketa Hiroshi
;
Hirairi Koji
;
Nomura Masahiro
;
Takamiya Makoto
;
Sakurai Takayasu
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
50.
FPGA glitch power analysis and reduction
机译:
FPGA故障功率分析和降低
作者:
Shum Warren
;
Anderson Jason H.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
FPGA;
SAT;
don't-cares;
glitch power;
51.
SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures
机译:
基于SAT的捕获功率降低,用于基于广播的全速测试压缩架构
作者:
Kochte Michael A.
;
Miyase Kohei
;
Wen Xiaoqing
;
Kajihara Seiji
;
Yamato Yuta
;
Enokimoto Kazunan
;
Wunderlich Hans-Joachim
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
ATPG;
Low capture-power test;
X-filling;
52.
Pulsed-latch-based clock tree migration for dynamic power reduction
机译:
基于脉冲锁存的时钟树移植,可动态降低功耗
作者:
Lin Hong-Ting
;
Chuang Yi-Lin
;
Ho Tsung-Yi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Clock Network Synthesis;
Power Reduction;
Pulsed Latch;
53.
Matched public PUF: Ultra low energy security platform
机译:
配套的公共PUF:超低能耗安全平台
作者:
Meguerdichian Saro
;
Potkonjak Miodrag
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
PPUF;
PUF;
device aging;
hardware security;
public key cryptography;
54.
Pinned to the walls — Impact of packaging and application properties on the memory and power walls
机译:
固定在墙上—封装和应用程序属性对内存和电源墙上的影响
作者:
Stanley-Marbell Phillip
;
Cabezas Victoria Caparros
;
Luijten Ronald P.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
55.
Processor caches built using multi-level spin-transfer torque RAM cells
机译:
使用多级旋转传递扭矩RAM单元构建的处理器缓存
作者:
Chen Yiran
;
Wong Weng-Fai
;
(Helen) Li Hai
;
Koh Cheng-Kok
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
MLC;
STT-RAM;
spintronic;
56.
High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement
机译:
通过自适应线路替换实现混合缓存体系结构的高耐久性和高性能设计
作者:
Jadidi Amin
;
Arjomand Mohammad
;
Sarbazi-Azad Hamid
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
cache line displacement;
chip multiprocessors;
hybrid cache architecture;
spin torque transfer RAM;
wear leveling;
57.
Versatile high-fidelity photovoltaic module emulation system
机译:
多功能高保真光伏模块仿真系统
作者:
Lee Woojoo
;
Kim Younghyun
;
Wang Yanzhi
;
Chang Naehyuck
;
Pedram Massoud
;
Han Soohee
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
emulator;
energy harvesting;
photovoltaic;
solar cell;
58.
System energy minimization via joint optimization of the DC-DC converter and the core
机译:
通过联合优化DC-DC转换器和磁芯来最小化系统能量
作者:
Abdallah Rami A.
;
Shenoy Pradeep S.
;
Shanbhag Naresh R.
;
Krein Philip T.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
DC-DC converter;
Energy-aware systems;
dynamic voltage scaling;
power management;
sub-threshold design;
59.
Variation-aware clock network design methodology for ultra-low voltage (ULV) circuits
机译:
超低压(ULV)电路的变化感知时钟网络设计方法
作者:
Zhao Xin
;
Tolbert Jeremy R.
;
Liu Chang
;
Mukhopadhyay Saibal
;
Lim Sung Kyu
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
clock network design;
robustness;
ultra-low voltage;
60.
Does low-power design imply energy efficiency for data centers?
机译:
低功耗设计是否意味着数据中心的能源效率?
作者:
Meisner David
;
Wenisch Thomas F.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
data centers;
servers;
61.
Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM)
机译:
实现对自旋转移扭矩RAM(STT-RAM)的通用存储的承诺
作者:
Nigam Anurag
;
Smullen Clinton W.
;
Mohan Vidyabhushan
;
Chen Eugene
;
Gurumurthi Sudhanva
;
Stan Mircea R.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Magnetic tunnel junction;
STT-RAM;
Thermal noise model and Invert coding;
62.
Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling
机译:
通过细粒度的电流调节和电压放大来延长相变存储器的寿命
作者:
Jiang Lei
;
Zhang Youtao
;
Yang Jun
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Cell Endurance;
Hard Faults;
Low Voltage;
Phase Change Memory;
63.
Design and analysis of metastable-hardened flip-flops in sub-threshold region
机译:
亚阈值区域的亚稳硬化触发器的设计与分析
作者:
Li David
;
Chuang Pierce I-Jen
;
Nairn David
;
Sachdev Manoj
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
64.
12.7-times energy efficiency increase of 16-bit integer unit by power supply voltage (V
DD
) scaling from 1.2V to 310mV enabled by contention-less flip-flops (CLFF) and separated V
DD
between flip-flops and combinational logics
机译:
通过无竞争触发器(CLFF)和分离的V
使电源电压(V
DD inf>)从1.2V缩放到310mV,将16位整数单元的能效提高了12.7倍触发器和组合逻辑之间的DD inf>
作者:
Fuketa Hiroshi
;
Hirairi Koji
;
Yasufuku Tadashi
;
Takamiya Makoto
;
Nomura Masahiro
;
Shinohara Hirofumi
;
Sakurai Takayasu
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
flip-flop;
subthreshold circuit;
variations;
65.
Eliminating energy of same-content-cell-columns of on-chip SRAM arrays
机译:
消除片上SRAM阵列的相同内容单元列的能量
作者:
Ahsan Bushra
;
Ndreu Lorena
;
Sideris Isidoros
;
Sazeides Yiannakis
;
Idgunji Sachin
;
Ozer Emre
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
66.
Thermal-aware bus-driven floorplanning
机译:
热感知总线驱动的平面规划
作者:
Wu Po-Hsun
;
Ho Tsung-Yi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Bus planning;
Floorplanning;
Thermal-Aware;
67.
An approach to energy-error tradeoffs in approximate ripple carry adders
机译:
近似纹波进位加法器中能量误差折衷的方法
作者:
Kedem Zvi M.
;
Mooney Vincent J.
;
Muntimadugu Kirthi Krishna
;
Palem Krishna V.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Approximate Adders;
Geometric Programming;
Low Energy Circuits;
Voltage Scaling;
68.
Power and delay aware synthesis of multi-operand adders targeting LUT-based FPGAs
机译:
针对基于LUT的FPGA的多操作数加法器的功耗和延迟感知综合
作者:
Matsunaga Taeko
;
Kimura Shinji
;
Matsunaga Yusuke
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
69.
Learning to manage combined energy supply systems
机译:
学习管理联合能源供应系统
作者:
Mirhoseini Azalia
;
Koushanfar Farinaz
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
70.
On-chip detection methodology for break-even time of power gated function units
机译:
功率门控功能单元收支平衡时间的片上检测方法
作者:
Usami Kimiyoshi
;
Goto Yuya
;
Matsunaga Kensaku
;
Koyama Satoshi
;
Ikebuchi Daisuke
;
Amano Hideharu
;
Nakamura Hiroshi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
break-even time;
leakage monitor;
power gating;
71.
Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores
机译:
使用异构CMOS-TFET多核提高多线程应用的能效
作者:
Swaminathan Karthik
;
Kultursay Emre
;
Saripalli Vinay
;
Narayanan Vijaykrishnan
;
Kandemir Mahmut
;
Datta Suman
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
72.
Automated di/dt stressmark generation for microprocessor power delivery networks
机译:
用于微处理器电源传输网络的自动di / dt压力标记生成
作者:
Kim Youngtaek
;
John Lizy Kurian
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
di/dt stressmark;
microprocessor power delivery network;
system-level power-aware design;
voltage droop;
73.
An integrated optimization framework for reducing the energy consumption of embedded real-time applications
机译:
集成优化框架,可减少嵌入式实时应用程序的能耗
作者:
Takase Hideki
;
Zeng Gang
;
Gauthier Lovic
;
Kawashima Hirotaka
;
Atsumi Noritoshi
;
Tatematsu Tomohiro
;
Kobayashi Yoshitake
;
Kohara Shunitsu
;
Koshiro Takenori
;
Ishihara Tohru
;
Tomiyama Hiroyuki
;
Takada Hiroaki
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
development environment;
embedded application;
energy optimization;
74.
Memory energy management for an enterprise decision support system
机译:
企业决策支持系统的内存能量管理
作者:
Kumar Karthik
;
Doshi Kshitij
;
Dimitrov Martin
;
Lu Yung-Hsiang
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
75.
An analytical model for performance yield of nanoscale SRAM accounting for the sense amplifier strobe signal
机译:
解析灵敏放大器选通信号的纳米级SRAM性能产量的解析模型
作者:
Ryan Joseph F.
;
Khanna Sudhanshu
;
Calhoun Benton H.
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
SRAM;
non-strobed sense amp;
yield;
yield model;
76.
Dynamic backlight scaling optimization for mobile streaming applications
机译:
针对移动流媒体应用的动态背光缩放优化
作者:
Hsiu Pi-Cheng
;
Lin Chun-Han
;
Hsieh Cheng-Kang
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Energy-efficient optimization;
dynamic backlight scaling;
mobile devices;
multimedia streaming applications;
77.
Object-based local dimming for LCD systems with LED BLUs
机译:
具有LED BLU的LCD系统的基于对象的局部调光
作者:
Anggorosesar Aldhino
;
Kim Young-Jin
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Backlight dimming;
LED BLU-based LCD;
fidelity;
object-based dimming;
power;
78.
Thread shuffling: Combining DVFS and thread migration to reduce energy consumptions for multi-core systems
机译:
线程改组:将DVFS和线程迁移相结合,以减少多核系统的能耗
作者:
Cai Qiong
;
Gonzalez Jose
;
Magklis Grigorios
;
Chaparro Pedro
;
Gonzalez Antonio
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
DVFS;
SMT;
multi-core;
thread migration;
thread shuffling;
79.
Analysis and mitigation of lateral thermal blockage effect of through-silicon-via in 3D IC designs
机译:
分析和缓解3D IC设计中硅通孔的横向热阻塞效应
作者:
Chen Yibo
;
Kursun Eren
;
Motschman Dave
;
Johnson Charles
;
Xie Yuan
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
80.
An energy-efficient adaptive hybrid cache
机译:
节能自适应混合缓存
作者:
Cong Jason
;
Gururaj Karthik
;
Huang Hui
;
Liu Chunyue
;
Reinman Glenn
;
Zou Yi
会议名称:
《International Symposium on Low Power Electronics and Design》
|
2011年
关键词:
Energy Reduction;
Hybrid Cache;
Scratchpad Memory;
意见反馈
回到顶部
回到首页