掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
轻工业技术
>
Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US
Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
粮食与食品工业
粮食科技与经济
现代食品科技
纺织装饰科技
国际纺织导报
北京皮革:中外皮革信息版
中国食品添加剂
中国宝石
江苏纺织B版
啤酒科技
更多>>
相关外文期刊
染织经济新闻
Gas Engine Magazine
Journal of the Institute of Brewing
Textile Fibre Forum
Cosmetic News
Journal of Coated Fabrics
大豆と技術
Food science and biotechnology
Journal of Wood Chemistry and Technology
The Journal of the American Leather Chemists Association
更多>>
相关中文会议
2012国际造纸技术报告会
第五届功能性纺织品及纳米技术研讨会
2007年益生元国际论坛
2004上海市烟草学会年会
首届中国纺织技术与经济发展高层论坛
“粮食安全:中国与世界”国际学术研讨会
中国机械工程学会包装与食品工程分会第五届学术年会
2014全国棉纺印染家纺技术对接交流会
中国造纸学会第十二届学术年会
全国毛纺行业节能降耗暨染整技术应用研讨会
更多>>
相关外文会议
1997 International corrugated containers conference & trade fair
'94 International Symposium & Exhibition on New Approaches in the Production of Food Stuffs and Intermediate Products From Cereal Grains and Oil Seeds 16-19 November 1994 Beijing, China
2010 Second International Conference on Games and Virtual Worlds for Serious Applications (VS-GAMES 2010)
American Chemical Society(ACS) National Meeting; 20010826-20010830; Chicago,IL; US
International Chemical Recovery Conference v.1; 20040606-20040610; Charleston,SC; US
2004 TAPPI fall technical conference
International Wood Composites Symposium; 20060411-12; Seattle,WA(US)
Sensing for agriculture and food quality and safety VI
CleanTech 2002: international clearing technology exposition
88th Textile Institute world conference 2012 : Bridging innovation, research and enterprise
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
CaF_2 for DUV lens fabrication: Basic material properties and dynamic light—matter interaction
机译:
用于DUV透镜制造的CaF_2:基本材料特性和动态光—物质相互作用
作者:
M. Letz
;
A. Engel
;
W. Mannstadt
;
L. Parthier
;
U. Natura
;
K. Knapp
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical microlithography;
ionic crystal;
CaF_2;
excitonic bound state;
color center;
self trapped exciton;
2.
Equivalent modeling technique for predicting the transient thermomechanical response of optical reticles during exposure
机译:
等效模型技术,用于预测曝光期间光罩的瞬态热机械响应
作者:
Amr Y. Abdo
;
R. L. Engelstad
;
William A. Beckman
;
Edward G. Lovell
;
John W. Mitchell
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
thermomechanical response;
scanning exposure;
equivalent finite element modeling;
3.
Fast algorithm for extraction of worst-case image degradation due to flare
机译:
快速算法,提取由于耀斑引起的最坏情况的图像退化
作者:
Scott Hafeman
;
Frank Gennari
;
Andrew R. Neureuther
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
flare;
pattern matching;
process models;
scattered light;
maximal lateral test function;
design for manufacturability;
4.
Full optical column characterization of DUV lithographic projection tools
机译:
DUV光刻投影工具的全光柱表征
作者:
Mark van de Kerkhof
;
Wim de Boeij
;
Haico Kok
;
Marianna Silova
;
Jan Baselmans
;
Marcel Hemerik
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
aberrations;
wavefront measurement;
pupil measurement;
straylight;
imaging control;
5.
High durable 4-kHz ArF excimer laser G42A for sub-90-nm lithography
机译:
高耐用性的4 kHz ArF准分子激光G42A,适用于90 nm以下的光刻
作者:
Takashi Saito
;
Hirotoshi Inoue
;
Hitoshi Nagano
;
Masaya Yoshino
;
Osamu Wakabayashi
;
Ryoichi Nohdomi
;
Toshihiro Nishisaka
;
Akira Sumitani
;
Hitoshi Tomaru
;
Hakaru Mizoguchi
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
excimer laser;
ArF;
193-nm;
microlithography;
line-narrowing;
high repetition rate;
6.
Impact of illumination intensity profile on lithography simulation
机译:
光照强度分布对光刻模拟的影响
作者:
Chan Hwang
;
In-Sung Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
illumination intensity profile;
off-axis illumination (OAI);
customized illumination;
optical proximity correction (OPC);
pupil measurement;
7.
Immersion microlithography at 193 nm with a Talbot prism interferometer
机译:
使用Talbot棱镜干涉仪在193 nm处进行浸没式微光刻
作者:
Anatoly Bourov
;
Yongfa Fan
;
Frank C. Cropanese
;
Neal V. Lafferty
;
Lena Zavyalova
;
Hoyoung Kang
;
Bruce W. Smith
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
immersion;
interference;
ArF;
DUV;
talbot;
8.
Improvements in CaF_2 material properties for next-generation microlithography applications
机译:
下一代微光刻应用中CaF_2材料性能的改进
作者:
Jeffrey T. Kohli
;
Qiao Li
;
William R. Rosch
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
calcium fluoride;
homogeneity;
birefringence;
crystal defects;
dislocations;
slip;
mosaic;
9.
157nm photoresist process optimisation for a full-field scanner
机译:
全场扫描仪的157nm光刻胶工艺优化
作者:
Scott Light
;
Nickolay Stepanenko
;
Roel Gronheid
;
Frieda Van Roey
;
Dieter Van den Heuvel
;
Anne- Marie Goethals
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157nm lithography;
photoresist;
resist benchmarking;
resist optimization;
10.
Two threshold resist models for optical proximity correction
机译:
两种阈值抗蚀剂模型用于光学邻近校正
作者:
W.C. Huang
;
C.H. Lin
;
C.C. Kuo
;
C.C. Huang
;
J. F. Lin
;
J.H. Chen
;
R.G Liu
;
Y.C. Ku
;
B.J. Lin
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical proximity correction;
constant threshold resist model;
variable threshold resist model;
two constant threshold resist models;
11.
TTR(Through the reticle) alignment system with photo resist ablation technique
机译:
TTR(通过掩模版)对准系统,采用光刻胶烧蚀技术
作者:
R.Tanaka
;
M.Kobayashi
;
M.Yasuda
;
N.Magome
;
K.Ishigo
;
H.Ikegami
;
T.Higashiki
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
wafer alignment;
through the reticle;
laser ablation;
12.
Two dimensional image-based model calibration for OPC applications
机译:
用于OPC应用的基于二维图像的模型校准
作者:
Kunal N. Taravade
;
Ebo Croffie
;
Andrew Jost
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
OPC;
CD;
metrology;
models;
contour;
simulation;
13.
Understanding Focus in Projection Lithography systems
机译:
了解投影光刻系统中的焦点
作者:
Pary Baluswamy
;
Hiroyuki Yamamoto
;
Zornitza Krasteva
;
Linda Somerville
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
focus;
substrate;
interaction;
tilt;
14.
Key challenges in across-pitch 0.33-k_1 trench patterning using hybrid mask
机译:
使用混合掩模的跨间距0.33-k_1沟槽图案化中的关键挑战
作者:
Navab Singh
;
Moitreyee M. Roy
;
Sohan S. Mehta
;
Hideki Suda
;
Takao Kubota
;
Yasuki Kimura
;
Hiroshi Kinoshita
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
trench lithography;
dark field mask;
hybrid masking;
alt-PSM;
CLM;
APSM;
15.
Liquid immersion lithography using immersion liquids: Microscopic polarizabilities and the role of orientation contributions to light scattering
机译:
使用浸没液体的浸没式光刻:微观极化率和取向对光散射的作用
作者:
M. Letz
;
K. Knapp
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical microlithography;
liquid immersion;
light scattering;
molecular fluid;
water;
16.
Lumped Parameter Model for Chemically Amplified Resists
机译:
化学放大抗蚀剂的集总参数模型
作者:
Jeffrey Byers
;
Mark Smith
;
Chris Mack
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lumped parameter model;
chemically amplified resist;
quencher diffusion;
17.
Methods for Benchmarking Photolithography Simulators: Part Ⅱ
机译:
标定光刻模拟器的方法:第二部分
作者:
Mark D. Smith
;
Jeffrey D. Byers
;
Chris A. Mack
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography simulation;
numerical accuracy;
PROLITH;
18.
Modified procedure for evaluation of low-k1 process windows
机译:
修改低k1处理窗口的过程
作者:
Dong-Woon Park
;
Sook Lee
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
MEEF;
process window;
simulation;
19.
Optimization and apodization of aerial images at high NA for imaging interferometric lithography
机译:
用于成像干涉光刻的高NA航拍图像的优化和切趾
作者:
Thanis M. Tridhavee
;
Balu Santhanam
;
Steven R. J. Brueck
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optimization;
pupil filtering;
image quality;
aerial image;
imaging interferometric lithography;
apodization;
20.
Process Window Simulation Study with Immersion Lithography for 45nm Technology Node
机译:
用于45nm技术节点的浸没式光刻工艺窗口模拟研究
作者:
Oseo Park
;
Alois Gutmann
;
Walter Neumueller
;
David Back
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
immersion lithography;
157nm lithography;
lithography simulation;
refractive index;
numerical aperture;
21.
Simulation of the 45-nm Half-Pitch Node with 193-nm Immersion Lithography
机译:
用193nm浸没光刻技术模拟45nm半间距节点
作者:
Abani M. Biswas
;
Andrew Frauenglass
;
Steven R. J. Brueck
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
imaging interferometric lithography;
dipole illumination;
immersion lithography;
45-nm half-pitch;
polarization effects in lithography;
22.
Study of Line Edge Roughness Using Continuous Wavelet Transform for 65 nm node
机译:
使用连续小波变换研究65 nm节点的线边缘粗糙度
作者:
Lin-Hung Shiu
;
Chun-Kuang Chen
;
Tsai-Sheng Gau
;
Burn J. Lin
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
line edge roughness;
continuous wavelet transform;
molecular weight;
free volume of polymer;
resist contrast;
numerical aperture;
PEB;
SB;
log slope of image;
23.
Sub-0.25 pm, 50 W Amplified Excimer Laser System for 193 nm Lithography
机译:
低于0.25 pm,50 W的准分子激光放大系统,用于193 nm光刻
作者:
Sergei V. Govorkov
;
Alexander O. Wiessner
;
Gongxue Hua
;
Timur V. Misuryaev
;
Andrey N. Knysh
;
Stefan Spratte
;
Peter Lokai
;
Tamas Nagy
;
Igor Bragin
;
Andreas Targsdorf
;
Thomas Schroeder
;
Hans-Stephan Albrecht
;
Rainer Desor
;
Thomas Schmidt
;
Rainer Paetzel
会议名称:
《》
|
2004年
关键词:
excimer laser;
optical microlithography;
193 nm;
ultra-narrow line;
high spectral purity;
24.
157-nm Chromeless Phase Lithography for 45-nm SRAM-gate
机译:
用于45 nm SRAM栅极的157 nm无铬相位光刻
作者:
Toshifumi Suganaga
;
Kunio Watanabe
;
Seiji Matsuura
;
Takuya Hagiwara
;
Takamitsu Furukawa
;
Toshiro Itani
;
Kiyoshi Fujii
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157-nm lithography;
high NA;
chromeless phase lithography;
SRAM gate;
pattern transfer;
25.
A Simple and Accurate Resist Parameter Extraction Method for sub 80nm DRAM patterns
机译:
80nm以下DRAM图案的简单准确的抗参数提取方法
作者:
Sook Lee
;
Chan Hwang
;
Dong-Woon Park
;
In-Sung Kim
;
Ho-Chul Kim
;
Sang-Gyun Woo
;
Han-Ku Cho
;
Woo-Sung Han
;
Joo-Tae Moon
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
resist parameter;
weiss model;
pupil mesh point;
FIRM;
solid-C;
26.
Airborne Molecular Contamination Control in the Micro-Mirror SLM-Based DUV SIGMA7300 Laser Pattern Generator
机译:
基于微镜SLM的DUV SIGMA7300激光图案生成器中的机载分子污染控制
作者:
Mats Ekberg
;
Hans Fosshaug
;
Thomas Oestroem
;
Peter Bjoernaengen
;
Thomas Utterbaeck
;
Per-Uno Skotte
;
John Higley
;
David Ruede
;
Oleg Kishkovich
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
AMC control;
amines;
hydrocarbons;
filtration;
materials selection;
chemical analysis;
optics;
CAR;
27.
Critical evaluation of focus analysis methods
机译:
重点分析方法的严格评估
作者:
Bill Roberts
;
Matthew McQuillan
;
Nicholas Louka
;
Terrence Zavecz
;
Patrick Reynolds
;
Mircea Dusa
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
exposure tool;
aberrations;
focus;
models;
zernike;
line-end shortening;
LES;
lens;
aberrations;
IDOF.;
depth-of-focus;
resolution;
scan-slit;
astigmatism;
scanner;
28.
Contamination Monitoring and Control on ASML MS-VII 157nm Exposure Tool
机译:
ASML MS-VII 157nm曝光工具的污染监测与控制
作者:
Uzodinma Okoroanyanwu
;
Roel Gronheid
;
Jan Coenen
;
Jan Hermans
;
Kurt Ronse
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
29.
Evaluation systems of F_2 laser lithography materials
机译:
F_2激光光刻材料评估系统
作者:
Yasuo Itakura
;
Youichi Kawasa
;
Keiji Egawa
;
Akira Sumitani
;
Hironao Sasaki
;
Iwao Higashikawa
;
Shigeo Irie
;
Kiyoshi Fujii
;
Toshiro Itani
;
Hitoshi Nakano
;
Hideo Hata
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
F_2 laser;
resist;
mask;
pellicle;
real time in-situ transmittance;
outgassing;
GC-MS;
30.
Extending the Newtonian Design Form for Ultra-high Numerical Aperture and Immersion Lithography
机译:
扩展牛顿设计形式以实现超高数值孔径和浸没式光刻
作者:
James Webb
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
microlithographic objective;
immersion;
157nm;
193nm;
catadioptric;
newtonian;
resist development;
achromatized;
catadioptric;
excimer laser;
31.
Full field imaging with a 157 nm scanner
机译:
157 nm扫描仪的全场成像
作者:
Chris Robinson
;
Nakgeuon Seong
;
Kurt Kimmel
;
Tim Brunner
;
Michael Hibbs
;
Michael Lercel
;
Diane McCafferty
;
Harry Sewell
;
Tim ONeil
;
Juan Ivaldi
;
Keith Andresen
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
157 nm lithography;
F_2 lithography;
alternating phase shifting mask;
alt-PSM;
PSM;
32.
Further progress in the application of in-situ aberration measurements to pattern-specific imaging optimization
机译:
将原位像差测量应用于特定模式的成像优化方面的进一步进展
作者:
Steven D. Slonaker
;
Bob Moore
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
photolithography simulation;
aberration;
aberration measurement;
aberration sensitivity;
zernike polynomial;
zernike sensitivity;
33.
Fluence dependent transmission in CaF_2 including correlation with thermally stimulated current
机译:
CaF_2中依赖注量的传输,包括与热激电流的相关性
作者:
G. E. Kohnke
;
C. An
;
C. M. Smith
;
P. J. Holmes
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
calcium fluoride;
transmission;
thermally stimulated current;
34.
Long-term Reliable Operation of a MOPA-based ArF Light Source for Microlithography
机译:
基于MOPA的ArF光源用于微光刻的长期可靠运行
作者:
Toshihiko Ishihara
;
Herve Besaucele
;
Cynthia Maley
;
Vladimir Fleurov
;
Patrick OKeeffe
;
Mary Haviland
;
Richard Morton
;
Walter Gillespie
;
Tim Dyer
;
Bryan Moosman
;
Robert Poole
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
excimer laser;
193nm light source;
narrow bandwidth;
MOPA;
DUV;
microlithography;
35.
Initial assessment of the Lithographic impact of the use of a Hard Pellicle on Wafer Distortion
机译:
初步评估使用硬质薄膜对晶圆变形的光刻影响
作者:
Michael Kocsis
;
Peter De Bisschop
;
Richard Bruls
;
Andrew Grenville
;
Chris Van Peski
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
hard pellicle;
157 nm lithography;
distortion;
overlay;
36.
Method of robust pattern design for lens aberration
机译:
用于透镜像差的鲁棒图案设计方法
作者:
Nobuhiro Komine
;
Kenji Konomi
;
Keita Asanuma
;
Kazuo Tawarayama
;
Tatsuhiko Higashiki
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lens aberration;
zernike sensitivity;
asymmetry;
pattern design;
robust;
assist feature;
37.
Modeling of Reactive, Soluble ARCs and Photoresist-ARC Interaction
机译:
反应性,可溶性ARC和光致抗蚀剂-ARC相互作用的建模
作者:
J. Biafore
;
M. Neisser
;
G. Dela Pena
;
J. Byers
;
M. Toukhy
;
J.Oberlander
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
DBARC;
soluble ARC;
developable ARC;
composite diffusion;
resist ARC interaction;
resist simulation;
post-exposure bake;
chemically amplified resist;
38.
PERFORMANCE AND RELIABILITY OF BEAM DELIVERY UNIT FOR ADVANCED LITHOGRAPHY
机译:
先进光刻技术的光束输送装置的性能和可靠性
作者:
Jason Pan
;
John Viatella
;
Palash Das
;
Yasushi Yamasaki
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
beam stabilization;
lithography;
beam delivery unit;
reticle illumination;
39.
New method for high-accuracy measurements of the internal transmittance in the deep ultraviolet spectral region using prism-shaped samples
机译:
使用棱镜形样品高精度测量深紫外光谱区域内透射率的新方法
作者:
Ulrich Neukirch
;
Xinghua Li
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
transmittance;
DUV;
absolute accuracy;
fused silica;
40.
Optimization and Characterization of the Blazed Phase Grating Focus Monitoring Technique
机译:
闪相光栅聚焦监测技术的优化与表征
作者:
Bill Roberts
;
Gerhard Kunkel
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
focus measurement;
two beam interferometer;
blazed phase grating;
41.
Properties of large CaF2 crystals grown by CZ method for lens materials
机译:
CZ法生长的大型CaF2晶体的透镜材料性能
作者:
H. Yanagi
;
T. Nawata
;
Y. Inui
;
Y. Hatanaka
;
E. Nishijima
;
T. Fukuda
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
CaF_2;
czochralski method;
single crystal;
birefringence;
homogeneity;
F_2 lithography;
42.
Propagation of EM Waves in Axial Symmetric Structures and Its Implication for 3D Rigorous Lithography Process Simulation
机译:
电磁波在轴对称结构中的传播及其对3D严格光刻工艺仿真的启示
作者:
Zhengrong Zhu
;
Andrzej J. Strojwas
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
symmetric;
waveguide method;
lithography simulation;
43.
Random Aberration and Local Flare
机译:
随机像差和局部光斑
作者:
Masato SHIBUYA
;
Hiromi EZAKI
;
Toshihumi FUKUI
;
Nobuaki WATANABE
;
Akira NISHIKATA
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
flare;
wave aberration;
random aberration;
CD;
pattern width;
44.
RET for Optical Maskless Lithography
机译:
RET用于光学无掩模光刻
作者:
Tor Sandstrom
;
Hans Martinson
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
maskless lithography;
SLM;
phase-shifting;
direct write;
45.
Simple 157 nm interference illumination system for pattern formation
机译:
用于图案形成的简单157 nm干涉照明系统
作者:
Seung-Wook Park
;
Jang-Hwan Jeong
;
Jung-Wook Choi
;
Hye-Keun Oh
;
Jaesoon Kim
;
Inho Park
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157 nm;
excimer laser;
lithography;
interference pattern;
purge;
193 nm;
lloyd mirror;
46.
Strong improvement of critical parameters of CaF_2 lens blanks for 193nm and 157nm lithography
机译:
CaF_2镜片毛坯的193nm和157nm光刻关键参数的有力改进
作者:
Guenter Grabosch
;
Lutz Parthier
;
Peter Kruell
;
Konrad Knapp
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
CaF_2;
homogeneity of refractive index;
157 nm;
193 nm;
47.
Synthesis of projection lithography for low k1 via interferometry
机译:
通过干涉法合成低k1的投影光刻技术
作者:
Frank Cropanese
;
Anatoly Bourov
;
Yongfa Fan
;
Andrew Estroff
;
Lena Zavyalova
;
Bruce W. Smith
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
interference;
interferometric lithography;
synthesis;
single beam attenuation;
defocus;
contrast;
48.
157 nm Pellicles for Photolithography: Mechanistic Investigation of the Deep UV Photolysis of Fluorocarbons
机译:
用于光刻的157 nm胶膜:碳氟化合物深UV光解的机理研究
作者:
Kwangjoo Lee
;
Steffen Jockusch
;
Nicholas J. Turro
;
Roger H. French
;
Robert C. Wheland
;
M.F. Lemon
;
Andre M. Braun
;
Tatjana Widerschpan
;
Paul Zimmerman
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
pellicles;
fluorocarbon;
hydrofluorocarbon;
photochemistry;
deep UV;
VUV;
49.
200 mW Continuous Wave laser source at 198.5 nm for Lithographic Applications
机译:
适用于光刻应用的200 mW连续波激光源(198.5 nm)
作者:
Andrea Caprara
;
Stuart Butterworth
;
Yefim Kil
;
Tracy Thonn
;
Keith Hubbard
;
Alan Macleod
;
Ed Rea
;
Colin Seaton
;
Luis Spinelli
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
laser;
deep ultra violet;
sum frequency generation;
mask writing;
mask inspection;
50.
Aberration Measurement and Matching - A correlation of Measurement Techniques and Dedication Schemes Implications
机译:
像差测量和匹配-测量技术和奉献计划的含义的关联
作者:
Bill Roberts
;
Igor Jekauc
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
overlay;
alignment;
distortion;
lens matching;
aberrations;
pattern placement errors;
tool matching;
dedication break strategy;
51.
Approximation of in-resist image by aerial image with 1 times shorter wavelength
机译:
波长短于1 / n倍的航拍图像逼近抗蚀图像
作者:
Shuji Nakao
;
Jun Abe
;
Takashi Okagawa
;
Akira Imai
;
Hidehiko Kozawa
;
Akira Tokui
;
Kouichirou Tsujita
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
high NA imaging;
vector interference;
surface reflection;
TARC;
pupil intensity filter;
immersion lithography;
polarization control;
ArF lithography;
resist blur;
52.
Advances in the use of birefringence to measure laser-induced density changes in fused silica
机译:
利用双折射测量熔融石英中激光引起的密度变化的研究进展
作者:
Johannes Moll
;
Douglas C. Allan
;
Ulrich Neukirch
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
laser damage;
fused silica;
birefringence;
density change;
53.
Beam quality of a new-type MOPO laser system for VUV laser lithography
机译:
用于VUV激光光刻的新型MOPO激光系统的光束质量
作者:
Osamu Wakabayashi
;
Tatsuya Ariga
;
Takahito Kumazaki
;
Koutarou Sasano
;
Takayuki Watanabe
;
Takayuki Yabu
;
Tsukasa Hori
;
Kouji Kakizaki
;
Akira Sumitani
;
Hakaru Mizoguchi
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
ArF laser;
broadband emission;
spatial coherence;
injection lock;
lithography;
MOPA;
54.
Comparison of various lithography strategies for the 65- and 45-nm half pitch using simulation
机译:
使用仿真比较65和45 nm半节距的各种光刻策略
作者:
D. Fuard
;
P. Schiavone
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography simulation;
157nm lithography;
immersion lithography;
PSM;
DAIM;
aerial image;
CD prediction;
OPC;
55.
DOE Manufacture with the DUV SLM-based Sigma7300 Laser Pattern Generator
机译:
使用基于DUV SLM的Sigma7300激光图案发生器进行DOE制造
作者:
Peter Bjoernaengen
;
Mats Ekberg
;
Thomas Oestroem
;
Hans Fosshaug
;
Johan Karlsson
;
Charles Bjoernberg
;
Fredrik Nikolajeff
;
Mikael Karlsson
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
diffractive optical elements;
DOE;
laser pattern generator;
SLM;
resist relief;
multi-level relief;
direct-write;
56.
Development of Accurate Empirical Model for ArF Lithography
机译:
ArF光刻精确经验模型的开发
作者:
Shoji Mimotogi
;
Daisuke Kawamura
;
Takashi Sato
;
Soichi Inoue
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
dose-MEF;
CD-MEF;
diffused aerial image;
57.
Effect of reduction ratio on polarization impact for imaging
机译:
缩小率对成像极化偏振的影响
作者:
Ronald L. Gordon
;
Timothy A. Brunner
;
Nakgeoun Seong
;
Michael Lercel
;
Gregg Gallatin
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
58.
Development of High Power ArF/F_2 Laser Platform for VUV Microlithography
机译:
用于VUV微光刻的高功率ArF / F_2激光平台的开发
作者:
Kouji Kakizaki
;
Junichi Fujimoto
;
Taku Yamazaki
;
Toru Suzuki
;
Takashi Matsunaga
;
Yasufumi Kawasuji
;
Yukio Watanabe
;
Masashi Kaminishi
;
Toyoharu Inoue
;
Hakaru Mizoguchi
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
65nm node;
ArF excimer laser;
injection lock;
high power;
line narrow;
193nm lithography;
MOPA;
59.
Development of Polymer Membranes for 157 nm Lithography
机译:
用于157 nm光刻的聚合物膜的开发
作者:
Florence Eschbach
;
Alex Tregub
;
Kevin Orvek
;
Corey Foster
;
Fu-Chang Lo
;
Ikuo Matsukura
;
Nana Tsushima
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157 nm lithography;
fluoropolymers;
laser;
exposure;
degradation;
60.
Excimer laser induced defect generation in Lithosil
机译:
受激准分子激光诱导的Lithosil缺陷产生
作者:
Ute Natura
;
Oliver Sohr
;
Martin Letz
;
Rolf Martin
;
Michael Kahlke
;
Gabriele Fasold
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
defect generation;
fused silica;
hydrogen consumption;
hydrogen bonded SiOH;
61.
Extension of 193-nm immersion optical lithography to the 22-nm half-pitch node
机译:
将193 nm浸没式光刻技术扩展到22 nm半间距节点
作者:
Steven R. J. Brueck
;
Abani M. Biswas
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
62.
Fast evaluation of Photomask Near-Fields in Sub-Wavelength 193nm Lithography
机译:
亚波长193nm光刻中光掩模近场的快速评估
作者:
Jaione Tirapu-Azpiroz
;
Eli Yablonovitch
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
thin mask model;
thick mask;
phase-shifting masks;
aerial image simulation;
kirchhoff boundary conditions;
deep ultraviolet lithography;
photomask near-field;
63.
First Results from AIMS Beta Tool for 157nm Lithography
机译:
AIMS Beta工具用于157nm光刻的初步结果
作者:
S. Teuber
;
I.Higashikawa
;
J. P. Urbach
;
C. M. Schilz
;
R. Koehle
;
A.M. Zibold
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
157nm lithography;
phase shifting masks;
AIMS;
defect repair validation;
64.
General Scaling Law of Optical Lithography Optical Theory
机译:
光学光刻的一般定标定律光学理论
作者:
Chun-Kuang Chen
;
Tsai-Sheng Gau
;
Li-Jui Chen
;
Chi-Chuang Lee
;
Jaw-Jung Shin
;
Anthony Yen
;
Burn J. Lin
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
optical lithography;
scaling;
fourier space;
reciprocal space;
K-space;
ewald sphere;
numerical aperture;
high NA;
hyper NA;
OPE;
MEEF;
DOf;
65.
Implementation of Pattern Specific Illumination Pupil Optimization on Step Scan Systems
机译:
步进扫描系统中特定模式照明瞳孔优化的实现
作者:
Andre Engelen
;
Robert Socha
;
Eric Hendrickx
;
Wieger Scheepers
;
Frank Nowak
;
Marco van Dam
;
Armin Liebchen
;
Denis Faas
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
customized illumination;
low k_1;
diffractive optical elements (DOE);
contrast enhancement technique;
illumination pupil;
process optimization;
66.
Immersion Fluid Refractive Indices Using Prism Minimum Deviation Techniques
机译:
使用棱镜最小偏差技术的浸没液折射率
作者:
Roger H. French
;
Min K. Yang
;
M. F. Lemon
;
R. A. Synowicki
;
Greg K. Pribil
;
Gerald T. Cooney
;
Craig M. Herzinger
;
Steven E. Green
;
John H. Burnett
;
Simon Kaplan
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
index of refraction;
immersion fluid;
immersion lithography;
157 nm lithography;
193 nm lithography;
67.
INTERFEROMETRIC-PROBE ABERRATION MONITOR PERFORMANCE IN THE PRODUCTION ENVIRONMENT
机译:
生产环境中的干涉探针像差监控器性能
作者:
Garth C. Robins
;
Andrew R. Neureuther
;
Mircea Dusa (ASML)
;
Jongwook Kye (AMD)
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
aberration;
image;
phase-shifting mask;
interference;
aberration monitor;
zernike aberrations, printable artifact;
high-NA;
resist image;
focus monitor;
intensity imbalance;
illumination;
68.
The Effect of the Effective Resist Diffusion Length to the Photolithography at 65 and 45 nm Nodes, A Study with Simple and Accurate Analytical Equations
机译:
有效抗蚀剂扩散长度对在65和45 nm节点处光刻的影响,使用简单而精确的解析方程进行的研究
作者:
Qiang Wu
;
Scott Halle
;
Zengqin Zhao
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
resist diffusion length;
resist blur;
alternating phase shifting mask;
PSM;
phase error;
tolerable phase error;
overlay tolerance;
193 nm lithography;
157-nm lithography;
69.
Study of the impact of illumination intensity distribution on resist parameter modification
机译:
光照强度分布对抗蚀剂参数修改影响的研究
作者:
Daisuke Kawamura
;
Kazuya Sato
;
Shoji Mimotogi
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
resist simulation;
resist model parameters;
parameter tuning;
illumination intensity distribution;
PROLITH;
AutoTune;
70.
A 90-nm design-rule patterning application using alt-PSM with KrF lithography for volume manufacturing at k1=0.27
机译:
使用alt-PSM和KrF光刻技术的90-nm设计规则构图应用,用于k1 = 0.27的批量生产
作者:
Stefan Majoni
;
Frank Driessen
;
Bryan S. Kasprowicz
;
Paul. D. Harris
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
low k1 lithography;
alt.-PSM;
90nm node;
Krf;
71.
Verification of compaction and rarefaction models for fused silica with 40 billion pulses of 193-nm excimer laser exposure and their effects on projection lens imaging performance
机译:
验证具有400亿个193 nm受激准分子激光脉冲的熔融石英的压实和稀疏度模型及其对投影透镜成像性能的影响
作者:
I Martin Algots
;
Richard Sandstrom
;
William Partlo
;
KazuhiroTakahashi
;
Hiroyuki Ishii
;
Yasuo Hasegawa
会议名称:
《Conference on Optical Microlithography XVII pt.3; 20040224-20040227; Santa Clara,CA; US》
|
2004年
关键词:
lithography;
excimer;
ArF;
fused silica;
compaction;
rarefaction;
pulse stretch;
意见反馈
回到顶部
回到首页