掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Quality Electronic Design
International Symposium on Quality Electronic Design
召开年:
2013
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Hetero
2
3D integration: A scheme for optimizing efficiency/cost of Chip Multiprocessors
机译:
Hetero
2 sup> 3D集成:一种优化芯片多处理器效率/成本的方案
作者:
Priyadarshi Shivam
;
Choudhary Niket K.
;
Dwiel Brandon
;
Upreti Ankita
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3DIC;
Heterogeneous microarchitecture;
2.
Reliability-constrained die stacking order in 3DICs under manufacturing variability
机译:
制造可变性下3DIC中受可靠性约束的芯片堆叠顺序
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
3.
Analytical modeling and numerical simulations of temperature field in TSV-based 3D ICs
机译:
基于TSV的3D IC中温度场的分析建模和数值模拟
作者:
Shiyanovskii Yuriy
;
Papachristou Chris
;
Wu Cheng-Wen
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
4.
New electrical design verification approach for 2.5D/3D package signal and power integrity
机译:
用于2.5D / 3D封装信号和电源完整性的新型电气设计验证方法
作者:
Karim Nozad
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
5.
An arbitrary stressed NBTI compact model for analog/mixed-signal reliability simulations
机译:
用于模拟/混合信号可靠性仿真的任意应力NBTI紧凑模型
作者:
Wan Jinbo
;
Kerkhoff Hans G.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
NBTI;
RD model;
Reaction-Diffusion solution;
Reliability;
analog;
mixed-signal;
simulation;
6.
Impacts of NBTI and PBTI effects on ternary CAM
机译:
NBTI和PBTI效应对三元CAM的影响
作者:
Yen-Han Lee
;
Ing-Chao Lin
;
Sheng-Wei Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Bit-flipping;
NBTI;
PBTI;
Reliability;
Ternary CAM;
7.
On predicting NBTI-induced circuit aging by isolating leakage change
机译:
通过隔离泄漏变化预测NBTI引起的电路老化
作者:
Han Yinhe
;
Jin Song
;
Jibing Qiu
;
Qiang Xu
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Negative bias temperature instability (NBTI);
leakage;
process variation;
8.
Aging-aware timing analysis considering combined effects of NBTI and PBTI
机译:
考虑NBTI和PBTI组合影响的老化感知时序分析
作者:
Kiamehr Saman
;
Firouzi Farshad
;
Tahoori Mehdi.B.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Aging;
HCI;
NBTI;
PBTI;
Timing Analysis;
9.
Flexible data allocation for scratch-pad memories to reduce NBTI effects
机译:
临时存储器的灵活数据分配,以减少NBTI效应
作者:
Papagiannopoulou Dimitra
;
Prasertsom Patipan
;
Bahar Iris
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Aging;
Data Allocation;
Energy Efficiency;
NBTI;
SRAM;
Scratchpad memory;
10.
Runtime 3-D stacked cache management for chip-multiprocessors
机译:
芯片多处理器的运行时3-D堆栈缓存管理
作者:
Jung Jongpil
;
Kang Kyungsu
;
De Micheli Giovanni
;
Kyung Chong-Min
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3-D IC;
cache partitioning;
chip-multiprocessor;
memory stacking;
runtime cache management;
11.
A co-synthesis methodology for power delivery and data interconnection networks in 3D ICs
机译:
用于3D IC中的功率传输和数据互连网络的共合成方法
作者:
Kapadia Nishit
;
Pasricha Sudeep
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
NoC synthesis;
System-level CAD;
communication energy;
core mapping;
multi-core systems;
12.
Temperature aware thread migration in 3D architecture with stacked DRAM
机译:
具有堆叠DRAM的3D架构中的温度感知线程迁移
作者:
Dali Zhao
;
Homayoun Houman
;
Veidenbaum Alex V.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D architecture;
Thermal Management;
13.
A system-level solution for managing spatial temperature gradients in thinned 3D ICs
机译:
用于管理薄型3D IC中空间温度梯度的系统级解决方案
作者:
Annamalai Arunachalam
;
Kumar Raghavan
;
Vijayakumar Arunkumar
;
Kundu Sandip
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D IC;
dynamic Thermal Management;
dynamic voltage and frequency scaling (DVFS);
spatial gradient;
thread migration;
14.
Vertically-addressed test structures (VATS) for 3D IC variability and stress measurements
机译:
用于3D IC变异性和应力测量的垂直寻址测试结构(VATS)
作者:
OSullivan Conor
;
Levine Peter M.
;
Garg Siddharth
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D integrated circuit;
test structures;
variability;
15.
Energy-aware coarse-grained reconfigurable architectures using dynamically reconfigurable isolation cells
机译:
使用动态可重新配置隔离单元的能源感知的粗粒度可重新配置架构
作者:
Jafri Syed.M.A.H.
;
Bag Ozan
;
Hemani Ahmed
;
Farahini Nasim
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
16.
Hybrid CMOS-TFET based register files for energy-efficient GPGPUs
机译:
基于混合CMOS-TFET的寄存器文件,用于节能GPGPU
作者:
Zhi Li
;
Jingweijia Tan
;
Xin Fu
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Tunneling field effect transistors;
energy efficiency;
general-purpose computing on graphics processing units;
17.
Compiler-assisted leakage energy optimization of media applications on stream architectures
机译:
流架构上媒体应用程序的编译器辅助泄漏能量优化
作者:
Shan Cao
;
Zhaolin Li
;
Zhixiang Chen
;
Guoyue Jiang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Leakage power;
instruction-level scheduling;
media applications;
stream architecture;
18.
On a rewriting strategy for dynamically managing power constraints and power dissipation in SoCs
机译:
一种用于动态管理SoC中的功率限制和功耗的重写策略
作者:
Viswanath Vinod
;
Muralidhar Rajeev
;
Seshadri Harinarayanan
;
Abraham Jacob A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
19.
Sustainable dual-level DVFS-enabled NoC with on-chip wireless links
机译:
具有片上无线链接的可持续性双层DVFS支持的NoC
作者:
Murray Jacob
;
Hegde Rajath
;
Teng Lu
;
Pande Partha Pratim
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
DVFS;
Latency;
Power;
Thermal;
WiNoC;
20.
On the selection of adder unit in energy efficient vector processing
机译:
节能矢量处理中加法器单元的选择
作者:
Ratkovic Ivan
;
Palomar Oscar
;
Stanic Milan
;
Unsal Osman S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
21.
Low-energy digital filter design based on controlled timing error acceptance
机译:
基于受控时序误差接受的低能耗数字滤波器设计
作者:
He Ku
;
Gerstlauer Andreas
;
Orshansky Michael
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Approximate Computing;
Digital filters;
Error Tolerant Design;
Low Power;
22.
A novel and efficient method for power pad placement optimization
机译:
一种新颖而有效的功率垫放置优化方法
作者:
Ting Yu
;
Wong Martin.D.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
IR-drop;
pad placement;
power grid;
23.
Min-cut based leakage power aware scheduling in high-level synthesis
机译:
高级合成中基于最小割的泄漏功率感知调度
作者:
Nan Wang
;
Song Chen
;
Yoshimura Takeshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
dual-Vth;
force-directed scheduling;
leakage power;
max-flow min-cut;
24.
Hierarchical dynamic power management using model-free reinforcement learning
机译:
使用无模型强化学习的分层动态电源管理
作者:
Wang Yanzhi
;
Triki Maryam
;
Xue Lin
;
Ammari Ahmed C.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Bayesian classification;
Dynamic power management;
reinforcement learning;
25.
Accurate architecture-level thermal analysis methods for MPSoC with consideration for leakage power dependence on temperature
机译:
考虑到泄漏功率与温度的关系,用于MPSoC的准确的架构级热分析方法
作者:
Yan Jiaqi
;
Luo Zuying
;
Tang liang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Architecture-level;
MPSoC;
Modeling;
Thermal Analysis;
26.
Application-driven power efficient ALU design methodology for modern microprocessors
机译:
应用驱动的高效微处理器ALU设计方法
作者:
Gong Na
;
Wang Jinhui
;
Sridhar Ramalingam
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
ALU;
application-driven;
delay;
dynamic circuits;
power;
27.
Low power and compact mixed-mode signal processing hardware using spin-neurons
机译:
使用自旋神经元的低功耗和紧凑型混合模式信号处理硬件
作者:
Sharad Mrigank
;
Deliang Fan
;
Roy Kaushik
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
analog;
circuit design;
low power design;
magnets;
spin torque;
28.
System-level optimization and benchmarking for InAs nanowire based gate-all-around tunneling FETs
机译:
基于InAs纳米线的全栅隧穿FET的系统级优化和基准测试
作者:
Pan Chenyun
;
Ceyhan Ahmet
;
Naeemi Azad
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Tunneling FET;
energy #x00D7;
execution time;
throughput;
29.
Impact of conventional and emerging interconnects on the circuit performance of various post-CMOS devices
机译:
传统和新兴互连对各种后CMOS器件的电路性能的影响
作者:
Ceyhan Ahmet
;
Naeemi Azad
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Carbon nanotube FETs;
Cu/low-k limitations;
FinFETs;
emerging carbon-based interconnects;
performance benchmarking;
sub-threshold CMOS;
tunneling FETs;
30.
Reducing IR drop in 3D integration to less than 1/4 using Buck Converter on Top die (BCT) scheme
机译:
使用上模降压转换器(BCT)方案将3D集成中的IR降到小于1/4
作者:
Shinozuka Yasuhiro
;
Fuketa Hiroshi
;
Ishida Koichi
;
Furuta Futoshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D integration;
Buck converter;
DC-DC converter;
IR drop;
Power integrity;
Power supply;
Stacked die;
TSV;
31.
Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags
机译:
利用附加的全零数据标志的高能效自旋传递扭矩RAM缓存
作者:
Jinwook Jung
;
Nakata Yohei
;
Yoshimoto Masahiko
;
Kawaguchi Hiroshi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Cache;
Emerging devices;
Energy consumption;
Spin-Transfer Torque RAM;
Zero-valued data;
32.
Design of ultra high density and low power computational blocks using nano-magnets
机译:
利用纳米磁体设计超高密度低功耗计算模块
作者:
Sharad Mrigank
;
Yogendra Karthik
;
Kon-Woo Kwon
;
Roy Kaushik
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3-D;
circuit design;
logic design;
low power design;
magnets;
spin torque;
33.
LMgr: A low-M emory global router with dynamic topology update and bending-aware optimum path search
机译:
LMgr:低M emory全局路由器,具有动态拓扑更新和可感知弯曲的最佳路径搜索
作者:
Jingwei Lu
;
Chiu-Wing Sham
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
34.
Vision-inspired global routing for enhanced performance and reliability
机译:
视觉启发的全局路由,可增强性能和可靠性
作者:
Jun Yong Shin
;
Dutt Nikil
;
Kurdahi Fadi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Computer Vision;
Global Routing;
Image Processing;
Temperature;
Thermal Gradient;
35.
Crosstalk timing windows overlap in statistical static timing analysis
机译:
串扰定时窗口在统计静态定时分析中重叠
作者:
Fatemi Hanif
;
Tehrani Peivand
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
36.
Multi-objective optimization algorithm for efficient pin-constrained droplet routing technique in digital microfluidic biochip
机译:
数字微流控生物芯片中有效管脚约束液滴路由技术的多目标优化算法
作者:
Chatterjee Soumyajit
;
Rahaman Hafizur
;
Samanta Tuhina
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Algorithm;
Digital Microfluidic Biochip;
Droplet Routing;
Multi Objective Optimization;
Pin Assignment;
37.
Advances in wire routing
机译:
布线进展
作者:
Wong Martin D.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
38.
Effectiveness of hybrid recovery techniques on parametric failures
机译:
混合恢复技术对参数故障的有效性
作者:
Ganapathy Shrikanth
;
Canal Ramon
;
Gonzalez Antonio
;
Rubio Antonio
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
39.
Fast reliability exploration for embedded processors via high-level fault injection
机译:
通过高级故障注入快速探索嵌入式处理器的可靠性
作者:
Wang Zheng
;
Chen Chao
;
Chattopadhyay Anupam
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
ADL-based Design;
High Level Fault Injection;
Reliability Exploration;
40.
Analysis and reliability test to improve the data retention performance of EPROM circuits
机译:
分析和可靠性测试以提高EPROM电路的数据保留性能
作者:
Jiyuan Luan
;
DiVita Michael
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
EPROM;
Non volatile memory;
data retention lifetime;
41.
Enabling sizing for enhancing the static noise margins
机译:
启用大小调整以增强静态噪声容限
作者:
Beiu Valeriu
;
Beg Azam
;
Ibrahim Walid
;
Kharbash Fekri
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOS;
logic gates;
sizing;
static noise margin;
42.
SRAM bit-line electromigration mechanism and its prevention scheme
机译:
SRAM位线电迁移机制及其预防方案
作者:
Zhong Guan
;
Marek-Sadowska Malgorzata
;
Nassif Sani
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Electromigration;
SRAM;
bit-line;
optimization;
43.
Cost-driven 3D design optimization with metal layer reduction technique
机译:
采用金属层缩减技术的成本驱动型3D设计优化
作者:
Qiaosha Zou
;
Jing Xie
;
Xie Yuan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
44.
TSV-aware topology generation for 3D Clock Tree Synthesis
机译:
支持3D时钟树综合的TSV感知拓扑生成
作者:
Wulong Liu
;
Haixiao Du
;
Yu Wang
;
Yuchun Ma
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D CTS;
TSV-to-TSV coupling;
clock tree topology generation;
45.
Electrical and thermal analysis for design exchange formats in three dimensional integrated circuits
机译:
三维集成电路设计交换格式的电气和热分析
作者:
Bazaz Rishik
;
Xie Jianyong
;
Swamnathan Madhavan
会议名称:
《》
|
2013年
关键词:
Design Exchange Format (DEF);
Intellectual Property (IP);
Power Delivery Network (PDN);
Three Dimensional Integrated Circuits (3D ICs);
Through Silicon Vias (TSVs);
46.
Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning
机译:
在3D热感知平面规划中通过硅通孔插入矩形和双信号的可靠性考虑
作者:
Chih-han Hsu
;
Ruan Shanq-Jang
;
Ying-Jung Chen
;
Kan Tsang-Chi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Double-STSV;
Rectangle-STSV;
Reliability;
47.
Configurable redundant via-aware standard cell design considering multi-via mechanism
机译:
考虑多通孔机制的可配置冗余通孔感知标准单元设计
作者:
Kan Tsang-Chi
;
Hung-Ming Hong
;
Ying-Jung Chen
;
Ruan Shanq-Jang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Design for manufacturability (DFM);
layout;
redundant via;
standard cell (SC);
48.
A novel flow for reducing clock skew considering NBTI effect and process variations
机译:
考虑NBTI效应和工艺变化的新颖时钟流以减少时钟偏斜
作者:
Chen Jifeng
;
Tehranipoor Mohammad
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Aging;
Clock Skew;
Clock Tree;
Process Variations;
49.
Suspicious timing error prediction with in-cycle clock gating
机译:
带有周期时钟门控的可疑时序误差预测
作者:
Youhua Shi
;
Igarashi Hiroaki
;
Togawa Nozomu
;
Yanagisawa Masao
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Timing error prediction;
clock gating;
robust design;
50.
Performance entitlement by exploiting transistor's BTI recovery
机译:
通过利用晶体管的BTI恢复获得性能
作者:
Arasu Senthil
;
Nourani Mehrdad
;
Reddy Vijay
;
Carulli John M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
BTI Recovery;
Bias Temperature Instability (BTI);
Clock Gating;
Design for Reliability Technique;
Signal Probability;
51.
Device design and analysis of logic circuits and SRAMs for Germanium FinFETs on SOI and bulk substrates
机译:
SOI和块状衬底上的锗FinFET的逻辑电路和SRAM的器件设计和分析
作者:
Hu Vita Pi-Ho
;
Fan Ming-Long
;
Su Pin
;
Chuang Ching-Te
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Band-to-Band Tunneling;
FinFET;
Germanium;
Logic Circuit;
SRAM;
52.
A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability
机译:
新型6T SRAM单元,具有非对称门下重叠设计的FinFET,可增强读取数据的稳定性和写入能力
作者:
Salahuddin ShairfeMuhammad
;
Hailong Jiao
;
Kursun Volkan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Memory;
asymmetrical gate underlap engineering;
data stability;
leakage power consumption;
on-die cache;
static noise margin;
write margin;
53.
Canonical ordering of instances to immunize the FPGA place and route flow from ECO-induced variance
机译:
对实例进行规范排序以使FPGA布局和布线流程免受ECO引起的差异影响
作者:
Dutta Avijit
;
Tuttle Neil
;
Anandh Krishnan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Delay Variance;
ECO;
Place and Route;
canonical ordering;
54.
A low power detection routing method for bufferless NoC
机译:
一种无缓冲NoC的低功耗检测路由方法
作者:
Chung-Kai Hsu
;
Kun-Lin Tsai
;
Jing-Fu Jheng
;
Shanq-Jang Ruan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Deflection routing;
NoC;
bufferless router;
low power;
55.
A 64-core platform for biomedical signal processing
机译:
生物医学信号处理的64核平台
作者:
Bisasky Jordan
;
Homayoun Houman
;
Yazdani Farhang
;
Mohsenin Tinoosh
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
65 nm CMOS;
DSP;
biomedical signal processing;
many-core;
seizure detection;
ultrasound;
56.
Improving timing error tolerance without impact on chip area and power consumption
机译:
在不影响芯片面积和功耗的情况下提高时序误差容限
作者:
Yano Ken
;
Hayashida Takanori
;
Sato Toshinori
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
canary flip-flops;
process and environmental variations;
timing error detection;
57.
System-level modelling of dynamic reconfigurable designs using functional programming abstractions
机译:
使用功能编程抽象的动态可重构设计的系统级建模
作者:
Uchevler B.N.
;
Svarstad Kjetil
;
Kuper Jan
;
Baaij Christiaan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Functional HDL;
Partial Evaluation;
Run-Time Reconfiguration;
Self-Reconfiguration;
58.
Design of a 6 Gbps continuous-time adaptive equalizer using a voltage rectifier instead of a power detector
机译:
使用电压整流器代替功率检测器的6 Gbps连续时间自适应均衡器设计
作者:
Srinivasan Krishna
;
Rosenfeld Jonathan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Adaptive equalizers;
power detector;
voltage rectifier;
59.
A predictable compact model for non-monotonous Vth-Pelgrom plot of long channel halo-implanted transistors
机译:
长通道卤素注入晶体管的非单调Vth-Pelgrom图的可预测紧凑模型
作者:
Kumashiro Shigetaka
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Compact model;
Halo implant;
Pelgrom plot;
Vth;
60.
Manufacturable nanometer designs using standard cells with regular layout
机译:
使用具有规则布局的标准单元可制造的纳米设计
作者:
Subramaniyan Kasyab P.
;
Larsson-Edefors Per
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
DFM;
Lithography;
Manufacturability;
Regular Fabrics;
Regularity;
Standard Cells;
61.
Fast analog design optimization using regression-based modeling and genetic algorithm: A nano-CMOS VCO case study
机译:
基于回归建模和遗传算法的快速模拟设计优化:纳米CMOS VCO案例研究
作者:
Ghai Dhruva
;
Mohanty Saraju P.
;
Thakral Garima
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
62.
Low power sensor for temperature compensation in molecular biosensing
机译:
低功率传感器,用于分子生物传感中的温度补偿
作者:
De Venuto Daniela
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
63.
A power efficient and digitally assisted CMOS complementary telescopic amplifier with wide input common mode range
机译:
具有宽输入共模范围的高能效数字辅助CMOS互补伸缩放大器
作者:
Todani Rishi
;
Mal Ashis Kumar
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
64.
Peak power reduction of a sensor network processor fabricated with Deeply Depleted Channel transistors in 65nm technology
机译:
采用65nm技术的深度耗尽沟道晶体管制造的传感器网络处理器的峰值功率降低
作者:
Kawakami Kentaro
;
Shiro Takeshi
;
Yamasaki Hironobu
;
Yoda Katsuhiro
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Deeply Depleted Channel (DDC) transistor;
sensor network processor;
supply voltage margin;
threshold voltage variation;
65.
Evaluation of tunnel FET-based flip-flop designs for low power, high performance applications
机译:
针对低功率,高性能应用的基于隧道FET的触发器设计的评估
作者:
Cotter Matthew
;
Liu Huichu
;
Datta Suman
;
Narayanan Vijaykrishnan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Tunnel FET;
flip-flop;
low power;
low voltage;
66.
A cost-effective 45nm 6T-SRAM reducing 50mV Vmin and 53 standby leakage with multi-Vt asymmetric halo MOS and write assist circuitry
机译:
具成本效益的45nm 6T-SRAM,具有多Vt非对称晕MOS和写辅助电路,可降低50mV Vmin和53%的待机泄漏
作者:
Nii Koji
;
Yabuuchi Makoto
;
Fujiwara Hidehiro
;
Tsukamoto Yasumasa
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
45nm;
6T;
Assist;
Asymmetric halo;
SNM;
SRAM;
67.
CPDI: Cross-power-domain interface circuit design in monolithic 3D technology
机译:
CPDI:单片3D技术中的跨电源域接口电路设计
作者:
Jing Xie
;
Du Yang
;
Yuan Xie
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Flip-Flop;
Level Shifter;
Monolithic 3D;
Multi-Power Domain;
68.
Impact of process parameter and supply voltage fluctuations on multi-threshold-voltage seven-transistor static memory cells
机译:
工艺参数和电源电压波动对多阈值电压七晶体管静态存储单元的影响
作者:
Zhu Hong
;
Kursun Volkan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Noise immunity;
battery lifetime;
data stability;
energy efficiency;
leakage power consumption;
process variations;
supply voltage variations;
write margin;
69.
Input-aware statistical timing analysis-based delay test pattern generation
机译:
基于输入感知的统计时序分析的延迟测试模式生成
作者:
Liu Bao
;
Lu Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
70.
Effect-cause intra-cell diagnosis at transistor level
机译:
晶体管级效应引起的细胞内诊断
作者:
Sun Zhenzhou
;
Bosio Alberto
;
Dilillo Luigi
;
Girard Patrick
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Effect-Cause Approach;
Failure Analysis;
Intra-Cell Diagnosis;
71.
Framework for analog test coverage
机译:
模拟测试覆盖范围的框架
作者:
Bhatta Debesh
;
Mukhopadhyay Ishita
;
Natarajan Suriyaprakash
;
Goteti Prashant
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Manufacturing test;
analog;
defects;
fault coverage;
fault model;
fault simulation;
mixed-signal;
parametric faults;
72.
Fast FPGA-based fault injection tool for embedded processors
机译:
用于嵌入式处理器的基于FPGA的快速故障注入工具
作者:
Shirazi Mohammad Shokrolah
;
Morris Brendan
;
Selvaraj Henry
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
FPGA;
Fault injection methods;
SEU faults;
73.
Diagnosis of small delay defects arising due to manufacturing imperfections using path delay measurements
机译:
使用路径延迟测量来诊断由于制造缺陷而引起的小延迟缺陷
作者:
Somashekar Ahish Mysore
;
Tragoudas Spyros
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
74.
Tabu search based cells placement in nanofabric architectures with restricted connectivity
机译:
具有禁忌的纳米结构中基于禁忌搜索的单元放置
作者:
Sait Sadiq M.
;
Arafeh Abdalrahman M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOL;
Combinatorial Optimization;
Search Heuristics;
Tabu Search;
VLSI;
assignment;
nanofabric;
75.
Relocatable and resizable SRAM synthesis for via configurable structured ASIC
机译:
通过可配置的结构化ASIC的可重定位和可调整大小的SRAM合成
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
SRAM;
Structured ASIC;
regular fabric;
via configurable;
76.
Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation
机译:
高层综合中具有成本效益的调度,可减轻软错误漏洞
作者:
Hara-Azumi Yuko
;
Tomiyama Hiroyuki
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
77.
Analysis of very large resistive networks using low distortion embedding
机译:
使用低失真嵌入分析超大型电阻网络
作者:
Koranne Sandeep
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
78.
Efficient translation validation of high-level synthesis
机译:
高水平综合的有效翻译验证
作者:
Tun Li
;
Yang Guo
;
Wanwei Liu
;
Chiyuan Ma
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Bisimulation;
High Level Synthesis;
Translation Validation;
79.
Performance and cache access time of SRAM-eDRAM hybrid caches considering wire delay
机译:
考虑线延迟的SRAM-eDRAM混合缓存的性能和缓存访问时间
作者:
Young-Ho Gong
;
Hyung Beom Jang
;
Sung Woo Chung
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
SRAM-eDRAM hybrid cache;
access time;
wire delay;
80.
Increasing the security level of analog IPs by using a dedicated vulnerability analysis methodology
机译:
通过使用专用的漏洞分析方法来提高模拟IP的安全级别
作者:
Beringuier-Boher Noemie
;
Hely David
;
Beroulle Vincent
;
Damiens Joel
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Analog and Mixed IP;
Methodology;
Security;
Vulnerability analysis;
81.
High-speed DFG-level SEU vulnerability analysis for applying selective TMR to resource-constrained CGRA
机译:
高速DFG级别SEU漏洞分析,用于将选择性TMR应用于资源受限的CGRA
作者:
Imagawa Takashi
;
Tsutsui Hiroshi
;
Ochi Hiroyuki
;
Sato Takashi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
coarse-grained reconfigurable architecture;
reliability;
single event upset;
soft error;
triple modular redundancy;
82.
Geostatistics inspired fast layout optimization of nanoscale CMOS phase locked loop
机译:
地统计学启发纳米级CMOS锁相环的快速布局优化
作者:
Okobiah Oghenekarho
;
Mohanty Saraju P.
;
Kougianos Elias
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
83.
Performance validation through implicit removal of infeasible paths of the behavioral description
机译:
通过隐式删除行为描述的不可行路径进行性能验证
作者:
Jayaraman Dheepakkumaran
;
Tragoudas Spyros
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
BDD;
code optimization;
timing analysis;
timing optimization;
84.
Early system level modeling of real-time applications on embedded platforms
机译:
嵌入式平台上实时应用程序的早期系统级建模
作者:
Lee Richard
;
Abdel-Khalek Karim
;
Abdi Samar
;
Risacher Frederic
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Embedded systems;
RTOS modeling;
Real-time software;
System level modeling;
SystemC;
85.
SUALD: Spacing uniformity-aware layout decomposition in triple patterning lithography
机译:
SUALD:三重图案化光刻中间距均匀性的布局分解
作者:
Zihao Chen
;
Yao Hailong
;
Yici Cai
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
86.
Stochastic behavioral modeling of analog/mixed-signal circuits by maximizing entropy
机译:
通过最大化熵来模拟/混合信号电路的随机行为建模
作者:
Krishnan Rahul
;
Wei Wu
;
Gong Fang
;
He Lei
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
87.
Analysis, modeling and silicon correlation of low-voltage flop data retention in 28nm process technology
机译:
28nm工艺技术中低压触发器数据保留的分析,建模和硅关联
作者:
Datta Animesh
;
Abu-Rahma Mohamed
;
Dasnurkar Sachin
;
Rasouli Hadi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
88.
A comparator energy model considering shallow trench isolation stress by geometric programming
机译:
考虑几何隔离的浅沟槽隔离应力比较器能量模型
作者:
Gong Chen
;
Zhang Yu
;
Bo Yang
;
Qing Dong
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
89.
Wire delay variability in nanoscale technology and its impact on physical design
机译:
纳米技术中的线延迟变异性及其对物理设计的影响
作者:
Nassif Sani R.
;
Gi-Joon Nam
;
Banerjee Shayak
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
90.
Multi-trap RTN parameter extraction based on Bayesian inference
机译:
基于贝叶斯推理的多陷阱RTN参数提取
作者:
Awano Hiromitsu
;
Tsutsui Hiroshi
;
Ochi Hiroyuki
;
Sato Takashi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Bayesian estimation;
Device characterization;
Random Telegraph Noise;
Separation of multitrap activity;
91.
VERVE: A framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands
机译:
VERVE:带有电压孤岛的基于NoC的MPSoC的变量感知能效综合框架
作者:
Kapadia Nishit
;
Pasricha Sudeep
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
NoC synthesis;
System-level CAD;
computation energy;
core mapping;
multi-core systems;
process variations;
92.
A virtualization approach for MIPS-based MPSoCs
机译:
基于MIPS的MPSoC的虚拟化方法
作者:
Aguiar Alexandra
;
Moratelli Carlos
;
Sartori Marcos L.L.
;
Hessel Fabiano
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
93.
Thermal-aware semi-dynamic power management for multicore systems with energy harvesting
机译:
具有能量收集功能的多核系统的热感知半动态电源管理
作者:
Yi Xiang
;
Pasricha Sudeep
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Energy harvesting;
power management;
task scheduling;
94.
On the interactions between real-time scheduling and inter-thread cached interferences for multicore processors
机译:
关于多核处理器的实时调度与线程间缓存的干扰之间的交互
作者:
Yiqiang Ding
;
Zhang Wei
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Multicore Processor;
Real-Time Scheduling;
WCET;
95.
Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model
机译:
使用马尔可夫决策过程模型的多核服务器集群中的资源分配和整合
作者:
Wang Yanzhi
;
Chen Shuang
;
Goudarzi Hadi
;
Pedram Massoud
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Cloud computing;
Markov decision process;
resource allocation;
service level agreement;
96.
Reliability-aware and energy-efficient synthesis of NoC based MPSoCs
机译:
基于NoC的MPSoC的可靠性感知和节能综合
作者:
Yong Zou
;
Pasricha Sudeep
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Network-on-chip;
energy;
reliability;
synthesis;
97.
CMOS inverter delay model based on DC transfer curve for slow input
机译:
基于直流传递曲线的慢速输入的CMOS逆变器延迟模型
作者:
Marranghello Felipe S.
;
Reis Andre I.
;
Ribas Renato P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOS inverter;
UDSM;
VLSI design;
delay model;
98.
RF passive device modeling and characterization in 65nm CMOS technology
机译:
65nm CMOS技术中的RF无源器件建模和表征
作者:
Lourandakis Errikos
;
Stefanou Stefanos
;
Nikellis Konstantinos
;
Bantas Sotiris
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOS integrated circuits;
Semiconductor device modeling;
capacitors;
inductors;
99.
Effective thermal control techniques for liquid-cooled 3D multi-core processors
机译:
适用于液冷3D多核处理器的有效热控制技术
作者:
Yue Hu
;
Shaoming Chen
;
Lu Peng
;
Song Edward
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3D processors;
Core Vertical Placed (CVP);
Liquid cooling;
Thermoelectric Cooling (TEC);
100.
An efficient method for ECSM characterization of CMOS inverter in nanometer range technologies
机译:
纳米范围技术中表征CMOS反相器ECSM的有效方法
作者:
Kaur Baljit
;
Miryala Sandeep
;
Manhas S.K.
;
Anand Bulusu
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOS inverter;
ECSM;
TCP;
load capacitance;
transition time;
意见反馈
回到顶部
回到首页