您现在的位置: 首页> 研究主题> CPLD

CPLD

CPLD的相关文献在1995年到2023年内共计4702篇,主要集中在自动化技术、计算机技术、无线电电子学、电信技术、电工技术 等领域,其中期刊论文3742篇、会议论文142篇、专利文献818篇;相关期刊810种,包括仪表技术与传感器、仪器仪表用户、电子产品世界等; 相关会议103种,包括2010年河南省单片微机和嵌入式系统学术研讨会、第十一届计算机工程与工艺全国学术年会、2006中国电工技术学会电力电子学会第十届学术年会等;CPLD的相关文献由8594位作者贡献,包括季冬冬、祖静、张志杰等。

CPLD—发文量

期刊论文>

论文:3742 占比:79.58%

会议论文>

论文:142 占比:3.02%

专利文献>

论文:818 占比:17.40%

总计:4702篇

CPLD—发文趋势图

  • 期刊论文
  • 会议论文
  • 专利文献

搜索

排序:

年份

作者

关键词

    • 郝冬辉; 汤英文; 罗彩任
    • 摘要: 地物光谱仪是农学、林学领域中广泛使用到的重要分析仪器之一。但是传统的光谱仪体积笨重,采集模块使用单一RAM设计,存在数据不能稳定采集和不方便携带等问题,给户外数据采集带来了一定的困难。所以研究一款数据采集稳定、数据传输便捷、操作方便的仪器就尤为重要。对此,本文提出了一种以STM32为主控制器,再搭载CPLD作为驱动数据采集模块,同时使用蓝牙无线数据传输的高效稳定传输光谱数据方法。通过实验测试,系统可以长时间稳定运行,解决了传统设计中存在数据采集困难、数据采集不稳定、仪器笨重不便于携带的问题。
    • 许爽; 唐青; 肖再南; 曹唯一; 陈志强
    • 摘要: 在通信系统的数据传输过程中易出现部件失效、总线冲突以及噪声干扰等问题,针对这一情况,构建了基于CPLD的双CPU冗余通信系统,采用CPLD作为仲裁模块,实时监测两个CPU的运行状态,及时做出准确的逻辑判断,实现双CPU之间的总线切换。此外,CPLD外接一个SRAM作为双CPU之间工作信息的存储器,保证信息数据传输的实时性和高效性,以提高通信系统的可靠性,而且系统采用双以太网和双RS 422组成的交互通信冗余网络,利用冗余技术进一步提高了整个系统的可靠性。该技术能有效保障通信系统持续稳定地运行,在各个领域的通信系统中都有非常大的应用价值。
    • 姚鼎; 武翰
    • 摘要: 在CPLD完整的测试开发流程中,涵盖了静态测试和动态测试,不同于传统的元器件测试环境,CPLD测试有其特殊性,测试平台需要专业的EDA软件技术,要能够兼容目前行业主流的CPLD设计环境,通过专业的EDA软件完成测试程序配置文件,然后通过JTAG端口将配置文件下载至CPLD中,通过软硬件的结合实现最终的测试。
    • 熊勇军; 杨齐; 吴昶
    • 摘要: 针对现有目前辅助动力装置的超转保护功能的不足,本文提出了一种基于CPLD的超转保护系统的设计方法,并在某辅助动力装置的超转保护中得到成功应用。应用结果表明,该超转保护系统可以预防断齿、断轴、剃齿等故障的发现。有效的保护辅助动力装置,延长辅助动力装置寿命,防止重大事故发生,具有显著的社会效益和经济效益。
    • 徐旭; 陈嘉鹏
    • 摘要: 针对目前市场上很多小型货车不具备倒车预警系统的现状,设计了一种超声波倒车预警装置。该系统以CPLD作为控制核心,采用HC-SR04传感器作为超声波收发模块,系统硬件部分还包括数码管显示、蜂鸣器和LED报警等模块。为了提高测量精度,利用DS18B20芯片对声速进行了温度补偿。软件部分主要在QuartusⅡ集成开发环境中通过Verilog HDL硬件描述语言设计完成。用户可以通过按键启动和停止倒车预警系统,数码管实时显示障碍物距离,并根据距离大小发出相应的声光报警,以提示驾驶员。通过实验将系统测量值和实际值进行对比,实验结果表明,系统的量程为2~450 cm,测量精度可达3 mm,具有一定的实用性和推广价值。
    • 杨鲲; 杨彬彬; 曾垒; 高灿辉; 刘姚军
    • 摘要: CPLD目前被大量应用于数字系统中,因此要在使用前做功能测试。本文以Lattice公司生产的ispLSI1032芯片为例,介绍了一种基于Verilog HDL语言的测试模块设计方法,给出了Verilog HDL语言源代码,通过程序编译,完成所设计的CPLD的功能测试。实验结果表明,设计符合实际工作需要。
    • 王永兴; 刘罡; 姜春阳
    • 摘要: 为解决传统精密电流互感器人工检定法劳动强度大、效率低等问题,提出一种基于高性能的单片机和CPLD芯片的精密电流互感器自动化检定装置。首先分析检定装置的自动化检定原理,提出采用软件分别控制切换控制板和互感器校验仪完成自动化检定的设计思路;其次,对标准电流互感器的一、二次绕组的内部结构和互感器整体检定线路进行分析,对PC机内部芯片的硬件结构分别进行设计;随后,对系统的软件进行了功能介绍,给出其主程序流程图;最后在相同标准器和被试品条件下将本检定装置和人工检定台体进行了设备比对试验。结果表明本检定装置可大幅提高试验效率,减轻人员劳动强度。
    • 何成; 焦杰
    • 摘要: 一种可以用单片机驱动的VGA高性能显示电路;采用CPLD设计逻辑电路,控制两片作为显存的SRAM;以16位并行总线高速写入像素数据,经过缓存之后再保存到显存;并行总线不但支持单片机无条件高速写入,而且可以用DMA快速更新任意矩形窗口;具有刷新速度快的特点,并且用两片SRAM分别作为前景页和背景页,可以全屏快速切换刷新.
    • 张恒彬
    • 摘要: 香烟在进入包装机之前会有很多烟支端部的烟丝被抖落,从而造成空头烟支的出现.当前香烟包装机对烟支的检测功能一直处于判断缺支层面,对于空头烟支都还无法精确地判断和识别.系统通过红外传感器对20支香烟同时进行检测,并由CPLD根据通讯脉冲将所有烟支端部的真实信息值分时上传给控制系统,解决了当前烟支包装设备不能在检测缺支的同时检测空头烟支的问题,为企业节约了大量的卷烟包装原辅材料.
    • 田晓波; 唐琰; 于水游
    • 摘要: 论述了对一种CPU模块的测试设计,此测试设计为了检测该种CPU模块的功能和性能.在设计过程中,首先,对该CPU模块的功能和性能进行了分析,并在此基础上,提出了测试需求,同时根据测试需求设计了测试方法.最后,通过对这种CPU模块的功能和性能进行测试和验证,为设计人员提供了设计和测试建议.
  • 查看更多

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号