您现在的位置: 首页> 研究主题> 容错路由

容错路由

容错路由的相关文献在1997年到2022年内共计102篇,主要集中在自动化技术、计算机技术、无线电电子学、电信技术、数学 等领域,其中期刊论文63篇、会议论文7篇、专利文献38890篇;相关期刊37种,包括内江师范学院学报、数字技术与应用、智能计算机与应用等; 相关会议6种,包括第六届中国测试学术会议、全国抗恶劣环境计算机第二十届学术年会、第八届中国青年运筹信息管理学者大会等;容错路由的相关文献由232位作者贡献,包括张涌逸、向东、林玮等。

容错路由—发文量

期刊论文>

论文:63 占比:0.16%

会议论文>

论文:7 占比:0.02%

专利文献>

论文:38890 占比:99.82%

总计:38960篇

容错路由—发文趋势图

容错路由

-研究学者

  • 张涌逸
  • 向东
  • 林玮
  • 公维凤
  • 刘路
  • 文学
  • 曹继军
  • 李忠诚
  • 林亚平
  • 梁华国
  • 期刊论文
  • 会议论文
  • 专利文献

搜索

排序:

年份

    • 易怡; 樊建席; 王岩; 刘钊; 董辉
    • 摘要: BCube是具有良好性能的数据中心网络.相比传统的树形数据中心网络,BCube在扩展和容错性能方面都表现出很大的优势.目前,对于BCube的研究可以归结为对其逻辑图BCn,k(广义超立方体的一种特例)的研究,其中交换机被视为透明设备.在实际应用中,随着网络规模的不断增加,顶点发生故障已经成为一种常态.因此,研究网络的容错路由很有意义.目前,有不少关于BCn,k容错路由的研究,但其2-限制连通度下的容错路由目前还没有被研究.在提出容错路由算法之前,首先证明了BCn,k的2-限制连通度为3(k+1)(n-1)-2n,其中k≥3且n≥3.然后在此基础上提出了一个时间复杂度为O(κ(BCn,k)3)的容错路由算法,其中κ(BCn,k)=(k+1)(n-1)是BCn,k的连通度.该算法可以在故障顶点个数小于3(k+1)(n-1)-2n且每个无故障顶点至少有两个无故障邻居时找到任意两个不同的无故障顶点之间的一条无故障路径.
    • 张弘博; 段新明
    • 摘要: 提出一种2D-Mesh上不使用虚拟通道的容错路由算法.目前,同类算法要牺牲掉网络边缘的所有节点,还要把所有错误都包含到一个错误块中.所提算法虽然也将错误包含到错误块中,但是不会牺牲掉网络边缘的所有节点,而是在错误处形成一个矩形区域,使包在路由时可以发现并绕开它.该算法不使用虚拟通道,能容一个甚至更多错误,允许错误发生在任何位置,不仅不会降低网络性能,而且还能获得与其他算法相似的传输延迟.
    • 赵俊宇; 朱珂; 沈剑良
    • 摘要: 由于TSV通道具有高硬件代价和低可靠性的缺点,限制TSV通道数量的非全互连3D NoC得到广泛研究.在非全互连3D NoC中,路由器需要维护TSV表以助数据包在层间传输时找到可用的TSV通道.现有研究的TSV表存储了整个层面内的TSV通道,具有高硬件开销、高重构代价的缺点.因此,提出新的TSV表存储策略,仅需存储距离路由4个端口最近的TSV位置和距离.同时考虑到由于TSV分布的非均匀性,数据包在传输过程中可能在TSV附近的路由造成拥塞,文中提出了基于新TSV表的具有拥塞感知的容错路由算法.实验结果表明,在高注入率条件下该算法比Elevator-First算法具有更好的网络传输性能,且在故障率达到50%时仍能保证75%的数据包接收率.%Because of the cost and reliability issues of TSV,the vertically partially connected 3D NoC with the limit number of TSVs has been researched widely.Router should maintain TSV table which helps packet to find TSV in vertically partially connected 3D NoC.The current TSV table saves TSVs of the whole layer but the cost of hardware and reconfiguration is relatively high.So this paper proposes a new TSV table that only records 4 TSVs which have the smallest distance to each port of router.Due to the fact that the distribution of TSV is heterogeneous,the congestion may occur in the router around TSVs.So this paper also proposes a fault-tolerant routing algorithm with congestion-awareness based on the new TSV table.The experimental results show that the performance of this algorithm is better than Elevator-First algorithm under the high packet injection rate.Additionally,this algorithm can provide 75% packet reception when the fault rate is 50%.
    • 张卿祎; 王兴伟; 黄敏
    • 摘要: Network is vulnerable when failures happen due to its heterogeneity, mobility and instability, at the same time reliability and user QoS(quality of service)cannot be guaranteed. Therefore fault tolerance needs to be improved to guarantee the reliability of QoS routing. For this purpose, an intelligent fault-tolerant QoS routing mechanism was proposed based on PSO( particle swarm optimization)and SA(simulated annealing). Considering the network dynamics, network model was quantitatively described by introducing knowledge of fuzzy mathematics and probability theory. The SRLG( shared risk link group) disjoint and preventive shared-path protective strategy were adopted to find backup path, which optimized end-to-end reliability, cost and QoS parameters. Simulation results indicate that the proposed mechanism has good performance on the percentage of route validity, fault-restoring ratio and resource utilization and thus is feasible and effective.%由于网络的异构性、移动性和不稳定性等特点导致网络在发生故障时连接的可靠性变差,不能满足用户服务质量(quality of service,QoS)需求,因此网络需要具有保证QoS的容错路由能力.为此提出基于粒子群优化(particle swarm optimization,PSO)和模拟退火(simulated annealing,SA)混合优化的容错QoS路由机制.考虑到网络环境的动态性,引入模糊数学和概率论定量刻画网络模型,采用共享风险链路组(shared risk link group,SRLG)分离和共享通路的预防式保护策略建立备份路径,使其端到端可靠性、代价和路径QoS评价值达到最优.仿真结果表明,所提出的容错路由机制具有良好的路由有效性、故障恢复率和资源利用率,是可行和有效的.
    • 龚健虎; 王闻今
    • 摘要: In order to solve the problem of high packet delay and congestion around the faulty region of the traditional fault-tolerant routing algorithms in networks-on-chip,this paper presented a fault-tolerant routing method using two virtual channels. The method determined the prohibited and permitted turns on each virtual channel in such a way that prohibited turns in one virtual channel were permitted in the other one.When a link failure occured,the proposed method based on a new fault infor-mation dissemination mechanism made the packet transmission on the shortest path.In addition,the method was extended to support multiple faulty links by fully utilizing all allowable turns in the network.Finally,the simulation also verifies the effec-tively of the proposed method.%针对片上网络中传统的容错路由算法的高报文延时和故障区域拥塞等不足,利用两个虚拟信道提出一种新的容错路由方法。该方法通过确定每个虚拟信道哪些转向被允许和禁止,使得一个虚拟信道中被禁止的转向在另一信道被允许。当发生链路故障时,该方法基于一种新的故障信息传播机制使报文在最短路径上传输;通过充分利用网络中的所有被允许转向对该方法进行扩展,以支持多链路故障。最后的仿真实验也验证了该方法的有效性。
    • 胡哲琨; 杨升春; 陈杰
    • 摘要: 为了减小路由表的规模且避免使用较多虚通道(VC),从而降低硬件资源用量,针对虫孔交换的2D Mesh片上网络提出了一种分区容错路由(RFTR)算法.该算法根据故障节点和链路的位置将2D Mesh网络划分为若干个相连的矩形区域,数据包在矩形区域内可使用确定性或自适应路由算法进行路由,而在区域间则按照up*/down*算法确定路由路径.此外,利用通道依赖图(CDG)模型,证明了该算法仅需两个虚通道就能避免死锁.在6×6Mesh网络中,RFTR算法能减少25%的路由表资源用量.仿真结果表明,在队列缓存资源相同的情况下,RFTR算法能实现与up*/down*算法和segment算法相当甚至更优的性能.
    • 李洋; 吕瑞
    • 摘要: An optimized fault routing algorithm is supposed for Network on Chip Based on Built In Self Test by judg-ing information about the fault node. A judgment node and a turning node are set up for decreasing the payload in the reconfigurable loop routing according to the position of the fault node,we made payload balanced while completed fault optimization. We got the delay and throughput data for 2 kinds of 2D-mesh NoC by means of OPNET simulation plat-form, the Experiment’ s results show the advantage of the supposed algorithm, and more optimized network perfor-mance can be achieved in the 7×7 than 5×5 network.%基于内建自测技术,通过判断故障节点信息,提出了一种片上网络可重构容错路由优化算法。算法根据故障节点的位置在网络中设立判断点和有效转向点,以减少在重构环路上的负载,在完成路由容错优化的同时实现了负载均衡。在OPNET仿真平台上,采用均匀流量模式,对比了该算法与RRA算法在两种2D-mesh网络中的性能,实验结果表明,提出算法在平均时延和吞吐率方面具有显著优势,并且与5×5网络相比,7×7规模的NoC中随着网络注入率的增加延时优化愈加明显。
    • 李世云; 袁超
    • 摘要: 随着集成电路制造工艺的不断发展,集成在芯片上晶体管的数量也随之增多,已超过几十亿晶体管的规模,因此芯片上可以集成越来越多的IP核.随着芯片中IP核数量的增多,基于总线结构的片上系统(System-on-Chip,SoC)已不能满足数据的通信要求,为了解决这个问题,片上网络(Network-on-Chip,NoC)作为一种全新的互联结构被提出来.其核心是把网络设计的思想移植到芯片设计中,将片上资源互连起来,并将计算与通信分离.片上网络具有很好的空间可扩展性,采用的全局异步一局部同步的通信机制使并行通信效率更高.NOC带来了一种全新的片上通信方式,它的引入有利于提升可重用设计、解决通信瓶颈和全局同步等难题.本文在研究片上网络结构的基础上,针对片上网络多播通信的特点提出了一种多播容错路由算法.
    • Surendran. S; Prakash. S
    • 摘要: MANET routing is critical and routing decision should be made sooner before the node leaves the network.Fast decisions always compensate network performance.In addition,most MANET routing protocols assume a friendly and cooperative environment,and hence are vulnerable to various attacks.Trust and Reputation would serve as a major solution to these problems.Learning the network characteristics and choosing right routing decisions at right times would be a significant solution.In this work,we have done an extensive survey of fault tolerant protocols and ant colony algorithms applied to routing in MANETs.We propose a QoS constrained fault tolerant ant lookahead routing algorithm which attempts to identify valid route and look-ahead route pairs which might help in choosing the alternate path in case of valid route failure.The results prove that the proposed algorithm takes better routing decisions with 20-30 percent improvement compared with existing ant colony algorithms.
    • 韦良芬; 张佑生; 王勇
    • 摘要: 为了提高片上网络(Network-on-Chip,NoC)系统的可靠性及故障情况下的网络性能,基于转弯模型(Turn Model)的思想对现有的XY路由算法进行了改进,提出了一种容错路径短,且在故障情况下具有信息均衡能力的无虚通道容错路由算法(T-XY路由算法).OPNET仿真结果表明,该算法与同类算法相比具有较好的吞吐及时延性能.
  • 查看更多

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号