首页> 外文OA文献 >Application-Specific SRAM Design Using Output Prediction to Reduce Bit-Line Switching Activity and Statistically Gated Sense Amplifiers for Up to 1.9x Lower Energy/Access
【2h】

Application-Specific SRAM Design Using Output Prediction to Reduce Bit-Line Switching Activity and Statistically Gated Sense Amplifiers for Up to 1.9x Lower Energy/Access

机译:采用特定应用的sRam设计,利用输出预测来降低位线开关活动和统计选通的读出放大器,最高可降低1.9倍的能量/接入

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

This paper presents an application-specific SRAM design targeted towards applications with highly correlated data (e.g., video and imaging applications). A prediction-based reduced bit-line switching activity scheme is proposed to reduce switching activity on the bit-lines based on the proposed bit-cell and array structure. A statistically gated sense-amplifier approach is used to exploit signal statistics on the bit-lines to reduce energy consumption of the sensing network. These techniques provide up to 1.9 × lower energy/access when compared with an 8T SRAM. These savings are in addition to the savings that are achieved through voltage scaling and demonstrate the advantages of an application-specific SRAM design.
机译:本文提出了针对特定应用的SRAM设计,该设计针对具有高度相关数据的应用(例如视频和成像应用)。提出了一种基于预测的减少位线切换活动方案,以基于提出的位单元和阵列结构减少位线上的切换活动。使用统计门控的传感放大器方法来利用位线上的信号统计信息,以减少传感网络的能耗。与8T SRAM相比,这些技术可提供高达1.9×更低的能耗/访问速度。这些节省是通过电压缩放实现的节省之外的,并证明了专用SRAM设计的优势。

著录项

相似文献

  • 外文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号