首页> 外文OA文献 >GARNET: A detailed on-chip network model inside a full-system simulator
【2h】

GARNET: A detailed on-chip network model inside a full-system simulator

机译:Garnet:全系统模拟器内的详细的片上网络模型

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

Until very recently, microprocessor designs were computation-centric. On-chip communication was frequently ignored. This was because of fast, single-cycle on-chip communication. The interconnect power was also insignificant compared to the transistor power. With uniprocessor designs providing diminishing returns and the advent of chip multiprocessors (CMPs) in mainstream systems, the on-chip network that connects different processing cores has become a critical part of the design. Transistor miniaturization has led to high global wire delay, and interconnect power comparable to transistor power. CMP design proposals can no longer ignore the interaction between the memory hierarchy and the interconnection network that connects various elements. This necessitates a detailed and accurate interconnection network model within a full-system evaluation framework. Ignoring the interconnect details might lead to inaccurate results when simulating a CMP architecture. It also becomes important to analyze the impact of interconnection network optimization techniques on full system behavior. In this light, we developed a detailed cycle-accurate interconnection network model (GARNET), inside the GEMS full-system simulation framework. GARNET models a classic five-stage pipelined router with virtual channel (VC) flow control. Microarchitectural details, such as flit-level input buffers, routing logic, allocators and the crossbar switch, are modeled. GARNET, along with GEMS, provides a detailed and accurate memory system timing model. To demonstrate the importance and potential impact of GARNET, we evaluate a shared and private L2 CMP with a realistic state-of-the-art interconnection network against the original GEMS simple network. The objective of the evaluation was to figure out which configuration is better for a particular workload. We show that not modeling the interconnect in detail might lead to an incorrect outcome. We also evaluate Express Virtual Channels (EVCs), an on-ch- ip network flow control proposal, in a full-system fashion. We show that in improving on-chip network latency-throughput, EVCs do lead to better overall system runtime, however, the impact varies widely across applications.
机译:直到最近,微处理器设计是以计算为中心的。片上通信经常忽略。这是因为快速,单周期的片上通信。与晶体管功率相比,互连功率也是微不足道的。通过单处理器设计,提供递减的回报和主流系统中的芯片多处理器(CMP)的出现,连接不同加工核心的片上网络已成为设计的关键部分。晶体管小型化导致了高全局导线延迟,并且与晶体管电源相当的互连功率。 CMP设计建议不能再忽略内存层级和连接各种元素的互连网络之间的交互。这需要在全系统评估框架内进行详细和准确的互连网络模型。忽略互连细节可能导致模拟CMP架构时不准确的结果。分析互连网络优化技术对完整系统行为的影响也很重要。在这种光线中,我们开发了一个详细的周期准确的互连网络模型(Garnet),在Gems全系统仿真框架内。 Garnet模拟具有虚拟通道(VC)流量控制的经典五级流水线路由器。微型建筑细节,如粉碎级输入缓冲区,路由逻辑,分配器和横杆交换机。 Garnet以及Gems,提供了一个详细和准确的内存系统时序模型。为了展示石榴石的重要性和潜在影响,我们评估了一个用于原始宝石简单网络的现实最先进的互连网络的共享和私人L2 CMP。评估的目的是弄清楚哪种配置对于特定的工作量更好。我们表明,未详细建模互连可能导致结果不正确。我们还以全系统方式评估快递虚拟渠道(EVC),一个关于CH-IP网络流控制提案。我们表明,在提高片上网络延迟吞吐量中,EVC确实导致更好的整体系统运行时间,然而,影响在应用中的影响很大。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号