首页> 外文期刊>ACM Transactions on Design Automation of Electronic Systems >Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design
【24h】

Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design

机译:通过应用制造性准则来避免基于细胞设计的低覆盖区域的布局重新合成

获取原文
获取原文并翻译 | 示例
获取外文期刊封面目录资料

摘要

Design-for-manufacturability (DFM) guidelines are recommended layout design practices intended to capture layout features that are difficult to manufacture correctly. Avoiding such features prevents the occurrence of potential systematic defects. Layout features that result in DFM guideline violations may not be avoided completely due to the design constraints of chip area, performance, and power consumption. A framework for translating DFM guideline violations into potential systematic defects, and faults, was described earlier. In a cell-based design, the translated faults may be internal or external to cells. In this article, we focus on undetectable faults that are external to cells. Using a resynthesis procedure that makes fine changes to the layout while maintaining the design constraints, we target areas of the design where large numbers of external faults related to DFM guideline violations are undetectable. By eliminating the corresponding DFM guideline violations, we ensure that the circuit does not suffer from low-coverage areas that may result in detectable systematic defects escaping detection, but failing the circuit in the field. The layout resynthesis procedure is applied to benchmark circuits and logic blocks of the OpenSPARC T1 microprocessor. Experimental results indicate that the improvement in the coverage of potential systematic defects is significant.
机译:制造性设计(DFM)指南是推荐的布局设计实践,旨在捕获难以正确制造的布局特征。避免这些功能可以防止发生潜在的系统缺陷。由于芯片面积,性能和功耗的设计限制,可能无法完全避免导致DFM指南违规的布局功能。前面描述了将DFM指南侵犯潜在系统缺陷和故障翻译成潜在系统缺陷的框架。在基于细胞的设计中,翻译的故障可以是内部或外部细胞。在本文中,我们专注于细胞外部的未检测到的故障。使用重新合成程序,在保持设计约束的同时对布局进行微小的更改,我们针对设计的区域,其中没有与DFM指南违规相关的大量外部故障是未检测性的。通过消除相应的DFM指南违规,我们确保电路不会遭受可能导致可检测的系统缺陷的低覆盖区域逃逸检测,但在该字段中失效。布局重新合成程序应用于OpenSPARC T1微处理器的基准电路和逻辑块。实验结果表明,潜在的系统缺陷覆盖率的改善是显着的。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号