掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference
2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
北京电子
电气电子教学学报
数字通信世界
现代音响技术
移动信息
世界电信
显示器件技术
电子学报
吉林大学学报(信息科学版)
中国集成电路
更多>>
相关外文期刊
Australian Telecommunication Research
電子情報通信学会誌
Electron
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Telematics and Informatics
International Journal of Ultra Wideband Communications and Systems
Communications Markets Analysis
Report on AT&T
Circuitree
Proceedings of the IEE - Part IIIA: Television
更多>>
相关中文会议
第七届全国建设事业IC卡应用和技术发展研讨会
第十一届全国有线电视技术研讨会(NCTC·2009)
2008全国新媒体艺术系主任(院长)论坛
中国电子学会真空电子学分会第十四届学术年会暨军用微波管研讨会
电子陶瓷、陶瓷-金属封接与真空开关管用陶瓷管壳应用研讨会
中国电子学会'98结构与工艺联合学术年会
'2002天津IT、网络、信息技术、电子仪表创新学术会议
广东省光学学会2009年学术交流大会、粤港澳光学界产学研合作交流大会、广东省光学学会第六次会员代表大会
全国2004年导航学术年会
第六届全国印制电路学术年会
更多>>
相关外文会议
5th International Conference on Diffusion in Materials Pt.1, 5th, Jul 17-21, 2000, Paris France
Submolecular Glass Chemistry and Physics
Symposium on Organic Electronic and Photonic Materials and Devices Nov 27-30, 2000, Boston, Massachusetts, U.S.A.
1st EOS topical meeting on micro- and nano-optoelectronic systems 2011
8th International Conference on X-Ray Lasers May 27-31, 2002 Aspen, Colorado
2016 International Forum on Wide Bandgap Semiconductors China
High resolution characterization of corrosion processes 3
Organic-Inorganic Hybrid Materials for Photonics
SiGe, Ge, and Related Compounds 3: Materials, Processing, and Devices
Emerging trends in communication, computing, and electronics
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Contact resistance and reliability of 40 nm carbon nanotube vias
机译:
40 nm碳纳米管通孔的接触电阻和可靠性
作者:
Anshul A. Vyas
;
Cary Y. Yang
;
Phillip Wang
;
Changjian Zhou
;
Yang Chai
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
carbon nanotubes;
contact resistance;
interconnects;
metallization;
2.
AFD-based model of EM lifetime and reservoir effect
机译:
基于AFD的EM寿命和储层效应模型
作者:
Zhong Guan
;
Malgorzata Marek-Sadowska
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
AFD;
electromigration;
lifetime;
model;
reservoir;
3.
Spin-based interconnect technology and design
机译:
基于自旋的互连技术和设计
作者:
Azad Naeemi
;
Sou-Chi Chang
;
Sourav Dutta
;
Chenyun Pan
;
Sasikanth Manipatruni
;
Dmitri Nikonov
;
Ian Young
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
4.
Brain-inspired interconnect architectures and technologies
机译:
灵感来自大脑的互连体系结构和技术
作者:
Jan M. Rabaey
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
5.
End of Cu roadmap and beyond Cu
机译:
铜路线图的终点以及铜之外
作者:
Zsolt Tőkei
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
6.
Interconnect reliability challenges for technology scaling: A circuit focus
机译:
技术扩展的互连可靠性挑战:电路焦点
作者:
Anthony S. Oates
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
7.
Resistance and electromigration performance of 6 nm wires
机译:
6 nm导线的电阻和电迁移性能
作者:
J. S. Chawla
;
S. H. Sung
;
S. A. Bojarski
;
C. T. Carver
;
M. Chandhok
;
R. V. Chebiam
;
J. S. Clarke
;
M. Harmes
;
C. J. Jezewski
;
M. J. Kobrinski
;
B. J. Krist
;
M. Mayeh
;
R. Turkot
;
H. J. Yoo
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
8.
Interconnect roles for emerging memory technologies in 3D architecture
机译:
3D架构中新兴内存技术的互连角色
作者:
Er-Xuan Ping
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
9.
Effect of scaling copper through-silicon vias on stress and reliability for 3D interconnects
机译:
缩放铜直通硅通孔对3D互连的应力和可靠性的影响
作者:
Laura Spinella
;
Miseok Park
;
Jang-hi Im
;
Paul Ho
;
Nobumichi Tamura
;
Tengfei Jiang
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
TSV;
extrusion;
microstructure;
reliability;
scaling;
10.
Nondestructive inspection and inline estimation of profiles of copper-filled through-silicon vias with voids by a nano-focus X-ray microscope
机译:
纳米聚焦X射线显微镜对无孔的铜填充硅通孔的轮廓进行无损检测和在线估计
作者:
Yasutoshi Umehara
;
Nobuyuki Moronuki
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Cu-filled TSV;
machine learning;
nano-focus X-ray;
11.
Towards contact integration for III¿¿¿V/Silicon heterogeneous photonics devices
机译:
迈向III.¿¿V/硅异质光子学器件的触点集成
作者:
E. Ghegin
;
Ph. Rodriguez
;
F. Nemouchi
;
Ch. Jany
;
M. Brihoum
;
A. Halimaoui
;
I. Sagnes
;
B. Szelag
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Contact integration;
Heterogeneously integrated III¿¿¿V laser on Silicon;
Silicon Photonics;
12.
Numerical and experimental exploration of thermal isolation in 3D systems using air gap and mechanically flexible interconnects
机译:
使用气隙和机械柔性互连的3D系统中热隔离的数值和实验探索
作者:
Yang Zhang
;
Thomas. E. Sarvey
;
Yue Zhang
;
Muneeb Zia
;
Muhannad S. Bakir
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
13.
Novel approaches to determine thermomechanical materials data in advanced interconnect stacks
机译:
确定高级互连堆栈中热机械材料数据的新颖方法
作者:
Ehrenfried Zschech
;
Martin Gall
;
Andre Clausner
;
Christoph Sander
;
Valeriy Sukharev
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CTE;
SEM;
Young's Modulus;
effective materials data;
nanoindentation;
ultra low-k dielectrics;
14.
Pre-liner dielectric nitridation for resistance reduction in copper interconnects
机译:
衬里电介质氮化可降低铜互连中的电阻
作者:
C. -C. Yang
;
T. Spooner
;
W. Wang
;
J. Maniscalco
;
P. McLaughlin
;
C. K. Hu
;
E. Liniger
;
T. Standaert
;
D. Canaperi
;
R. Quon
;
E. Huang
;
D. Edelstein
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Copper;
nitridation;
reliability;
15.
Ultrathin conformal multilayer SiNO dielectric cap for capacitance reduction in Cu/low k interconnects
机译:
超薄共形多层SiNO电介质盖,用于减少Cu /低k互连中的电容
作者:
Deepika Priyadarshini
;
S. Nguyen
;
H. Shobha
;
S. Cohen
;
T. Shaw
;
C. Parks
;
E. Adams
;
J. Burnham
;
E. Liniger
;
C. K. Hu
;
D. Collins
;
T. Spooner
;
A. Grill
;
D. Canaperi
;
Vamsi Paruchuri
;
D. Edelstein
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
16.
Thermomechanical asymmetries in ULK dielectric glasses
机译:
ULK介电玻璃的热机械不对称性
作者:
Joseph A. Burg
;
Reinhold H. Dauskardt
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
ULK dielectric constant materials;
elastic asymmetry;
nanoporosity;
organosilicate films;
thermal expansion asymmetry;
17.
Fluorine-free tungsten films as low resistance liners for tungsten fill applications
机译:
无氟钨膜作为钨填充应用中的低电阻衬里
作者:
Jonathan Bakke
;
Yu Lei
;
Yi Xu
;
Kazuya Daito
;
Xinyu Fu
;
Guoqiang Jian
;
Kai Wu
;
Raymond Hung
;
Rajkumar Jakkaraju
;
Nicolas Breil
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Tungsten;
barrier;
contact;
fluorine-free;
front end of line (FEOL);
interconnects;
metal gate;
middle of line (MOL);
18.
Materials science of Ru and Ru alloy thin films for barrier applications
机译:
用于阻挡层的Ru和Ru合金薄膜的材料科学
作者:
Wen Liao
;
Daniel Bost
;
Chia-Yun Chiu
;
Gyeong S. Hwang
;
John G. Ekerdt
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
19.
Is electron transport in nanocrystalline Cu interconnects surface dominated or grain boundary dominated?
机译:
纳米晶Cu互连表面中的电子传输占主导地位还是晶界占主导地位?
作者:
Ganesh Hegde
;
R. Chris Bowen
;
Mark S. Rodder
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
electron transport;
grain boundaries;
nanocrystalline interconnects;
surfaces;
20.
Quantum computing with superconducting circuits
机译:
超导电路的量子计算
作者:
Robert Schoelkopf
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
21.
Ruthenium metallization for advanced interconnects
机译:
用于高级互连的钌金属化
作者:
Liang Gong Wen
;
Christoph Adelmann
;
Olalla Varela Pedreira
;
Shibesh Dutta
;
Mihaela Popovici
;
Basoene Briggs
;
Nancy Heylen
;
Kris Vanstreels
;
Christopher J. Wilson
;
Sven Van Elshocht
;
Kristof Croes
;
Jürgen Bömmels
;
Zsolt Tőkei
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
22.
Nanocarbon interconnects combining vertical CNT interconnects and horizontal graphene lines
机译:
结合垂直CNT互连和水平石墨烯线的纳米碳互连
作者:
R. Ramos
;
A. Fournier
;
M. Fayolle
;
J. Dijon
;
C. P. Murray
;
J. McKenna
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
carbon nanotubes;
contact resistance;
graphene;
nanocarbon interconnects;
variability;
23.
Performance analyses and benchmarking for spintronic devices and interconnects
机译:
自旋电子器件和互连的性能分析和基准测试
作者:
Chenyun Pan
;
Sou-Chi Chang
;
Azad Naeemi
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
ASL;
CSL;
Interconnect;
delay;
energy-delay product;
mLogic;
repeater;
span of control;
spintronic;
24.
Synthesis of doped carbon nanotubes by CVD using NiB catalysts
机译:
使用NiB催化剂通过CVD合成掺杂碳纳米管
作者:
Kosuke Tomita
;
Naoaki Kawakami
;
Akihiko Aozasa
;
Kou Aida
;
Kazuyoshi Ueno
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CVD;
NiB;
carbon nanotube;
catalyst;
doping;
25.
Multi-layer graphene interconnect
机译:
多层石墨烯互连
作者:
Maria Politou
;
Xiangyu Wu
;
Antonino Contino
;
Bart Soree
;
Cedric Huyghebaert
;
Dennis Lin
;
Iuliana Radu
;
Zsolt Tokei
;
Inge Asselberghs
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
doping;
graphene;
intercalation;
interconnect;
mean free path;
26.
Performance enhancement for 14nm high volume manufacturing microprocessor and system on a chip processes
机译:
14nm大批量制造微处理器和片上系统性能的增强
作者:
K. Fischer
;
H. K Chang
;
D. Ingerly
;
I. Jin
;
H. Kilambi
;
J. Longun
;
R. Patel
;
C. Pelto
;
C. Petersburg
;
P. Plekhanov
;
C. Puls
;
L. Rockford
;
I. Tsameret
;
M. Uncuer
;
P. Yashar
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
27.
Technology and design architectures and process innovations for 7 and 5nm BEOL interconnects
机译:
7和5nm BEOL互连的技术和设计架构以及工艺创新
作者:
Larry Clevenger
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
28.
Toward successful integration of gap-filling ultralow-k dielectrics
机译:
致力于成功集成间隙填充超低k电介质
作者:
L. Zhang
;
J. -F. de Marneffe
;
A. Leśniewska
;
P. Verdonck
;
N. Heylen
;
G. Murdoch
;
K. Croes
;
Zs. Tőkei
;
J. Bömmels
;
S. Lefferts
;
S. De Gendt
;
M. R. Baklanov
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Cu passivation;
gap-filling;
ultralow-k;
29.
Fabrication of (111) nanotwinned Cu and its applications in interconnects of microelectronic devices
机译:
(111)纳米孪晶铜的制备及其在微电子器件互连中的应用
作者:
Chih Chen
;
Chien-Min Liu
;
Tien-Lin Lu
;
Han-wen Lin
;
Yi-Cheng Chu
;
Chia-Ling Lu
;
Jing-Ye Juang
;
Kuan-Neng Chen
;
K. N. Tu
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
30.
3D die level packaging for hybrid systems
机译:
混合系统的3D芯片级封装
作者:
N. P. Vamsi Krishna
;
Prosenjit Sen
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
3D stacking;
Integration;
MEMS;
MOS;
die stacking;
ultrathin chip package (UTCP);
31.
Electrical properties and TDDB performance of Cu interconnects using ALD Ta(Al)N barrier and Ru liner for 7nm node and beyond
机译:
使用ALD Ta(Al)N势垒和Ru衬层在7nm及更高节点上使用Cu互连的电性能和TDDB性能
作者:
Yuki Kikuchi
;
Hiroaki Kawasaki
;
Hiroyuki Nagai
;
Kai-Hung Yu
;
Manabu Oie
;
Steve Consiglio
;
Cory Wajda
;
Kaoru Maekawa
;
Gert Leusink
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
ALD TaAlN;
CVD Ru;
PVD Cu fill;
TDDB;
low resistivity;
32.
Novel top-down Cu filling of through silicon via (TSV) in 3-D integration
机译:
3-D集成中新颖的自上而下的Cu填充贯穿硅通孔(TSV)
作者:
Ting-Chia Weng
;
Jun-Liang Lu
;
Shoou-Jinn Chang
;
Ting-Jen Hsueh
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Cu electroplating;
Inductively coupled plasma (ICP);
through silicon via (TSV);
33.
Formation and stability of intermetallics formed by solid-state reaction of Ni on In0.53Ga0.47As
机译:
Ni在In0.53Ga0.47As上固相反应形成的金属间化合物的形成和稳定性
作者:
Seifeddine Zhiou
;
Philippe Rodriguez
;
Fabrice Nemouchi
;
Patrice Gergaud
;
Tra Nguyen-Thanh
;
Lætitia Rapenne
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CMOS;
III¿¿¿V;
metalization;
solid-state reaction;
34.
A novel analytical capacitance model for sub-10 nm interconnects
机译:
低于10 nm互连的新型分析电容模型
作者:
Indira Seshadri
;
Huai Huang
;
Pranita Kerber
;
James Chen
;
Larry Clevenger
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
capacitance simulation;
low-k-damage;
multilayer-caps;
non-rectangular interconnect;
35.
Formation and microstructure of thin Ti silicide films for advanced technologies
机译:
先进技术的硅化钛薄膜的形成和微观结构
作者:
P. Adusumilli
;
A. V. Carr
;
A. S. Ozcan
;
C. Lavoie
;
J. Jordan-Sweet
;
D. Prater
;
N. Breil
;
S. Polvino
;
M. Raymond
;
D. Deniz
;
V. Kamineni
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
SiGe alloys;
Ti;
X-ray diffraction;
pole figure;
36.
Thermo-mechanical behavior of copper TSV and the effect of alternative metal liners
机译:
铜TSV的热机械行为和替代金属衬里的影响
作者:
Jae Shin
;
Matthew Thorum
;
Joe Richardson
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Coefficient of thermal expansion (CTE);
Cu protrusion;
NiB;
Stress;
Through silicon via (TSV);
WN;
annealing;
microvoid;
37.
Laser anneal of oxycarbosilane low-k film
机译:
碳硅烷低k膜的激光退火
作者:
M. Redzheb
;
S. Armini
;
K. Vanstreels
;
J. Meersschaut
;
M. R. Baklanov
;
Y. Wang
;
S. Chen
;
V. Le
;
M. Awdshiew
;
P. Van Der Voort
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Oxycarbosilane;
laser anneal;
low-k;
38.
Transforming the P4 process to enhance mechanical and fracture properties of ULKs
机译:
转变P4工艺以增强ULK的机械性能和断裂性能
作者:
Scott G. Isaacson
;
Can Wang
;
Krystelle Lionti
;
Willi Volksen
;
Teddie P. Magbitang
;
Reinhold H. Dauskardt
;
Geraud Dubois
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Fracture;
Hybrid materials;
Organic-inorganic nanostructures;
Polymers;
Pore filling;
Reliability;
ULK materials;
39.
Reduced damage for BEOL integration of ultra low-k (uLK) dielectric materials
机译:
减少对BEOL集成超低k(uLK)电介质材料的损害
作者:
Andy Wills
;
Meisam Movassat
;
Hash Pakbaz
;
Nigel Hacker
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Ultra Low-k dielectric;
plasma induced damage;
pore protection;
40.
Improving Tungsten gap-fill for advanced contact metallization
机译:
改进钨间隙填充以实现先进的接触金属化
作者:
Kai Wu
;
Sanghyeob Lee
;
Vik Banthia
;
Raymond Hung
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Tungsten;
seamless gap-fill;
selective deposition;
41.
Vapor deposition of copper-manganese interconnects
机译:
铜锰互连的气相沉积
作者:
Roy G. Gordon
;
Jun Feng
;
Kecheng Li
;
Xian Gong
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
chemical vapor deposition;
copper;
diffusion barrier;
interconnects;
manganese;
through silicon vias;
void-free filling;
42.
Characterization of Advanced Sequential Flow Deposition (ASFD) TiON electrode in MIM structure for leakage current reduction
机译:
MIM结构中用于减少漏电流的先进顺序流沉积(ASFD)TiON电极的特性
作者:
Tadahiro Ishizaka
;
Masaki Koizumi
;
Masaki Sano
;
Seokhyoung Hong
;
Masato Koizumi
;
Cheonsoo Han
;
Koji Akiyama
;
Sara Aoki
;
Kentaro Shiraga
;
Tatsuhiko Tanimura
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
ALD;
MIM;
TiON;
electrode;
leakage current;
43.
Process metrology of cobalt damascene interconnects
机译:
钴镶嵌互连的工艺计量
作者:
Eugene Shalyt
;
Michael Palvov
;
Xiaodong Yan
;
Danni Lin
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CVS;
additive monitoring;
electrolytic cobalt damascene;
44.
Spray deposition of compositionally graded hybrid layers for high-performance adhesion
机译:
喷涂成分分级的杂化层以实现高性能粘合
作者:
Yichuan Ding
;
Reinhold H. Dauskardt
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Adhesion;
Hybrid layer;
Reliability;
Sol-gel;
Spray-coating;
45.
Dual precursor atmospheric plasma deposition of bilayer organosilicate protective coatings on plastics
机译:
塑料上双层有机硅保护层的双前体大气等离子体沉积
作者:
Siming Dong
;
Zhenlin Zhao
;
Reinhold Dauskardt
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Atmospheric plasma;
adhesion;
transparent organosilciate coatings;
46.
Barrier/liner stacks for scaling the Cu interconnect metallization
机译:
阻挡层/衬层堆叠,用于缩放Cu互连金属化
作者:
Marleen H. van der Veen
;
N. Jourdan
;
V. Vega Gonzalez
;
C. J. Wilson
;
N. Heylen
;
O. Varela Pedreira
;
H. Struyf
;
K. Croes
;
J. Bömmels
;
Zs. Tőkei
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
47.
Ruthenium interconnect resistivity and reliability at 48 nm pitch
机译:
钌互连电阻率和48 nm间距的可靠性
作者:
Xunyuan Zhang
;
Huai Huang
;
Raghuveer Patlolla
;
Wei Wang
;
Frank W. Mont
;
Juntao Li
;
Chao-Kun Hu
;
Eric G. Liniger
;
Paul S. McLaughlin
;
Cathy Labelle
;
E. Todd Ryan
;
Donald Canaperi
;
Terry Spooner
;
Griselda Bonilla
;
Daniel Edelstein
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
EM;
Interconnects;
Ruthenium;
TDDB;
48.
Modeling of graphene for interconnect applications
机译:
用于互连应用的石墨烯建模
作者:
A. Contino
;
I. Ciofi
;
M. Politou
;
D. Verkest
;
D. Mocuta
;
B. Sorée
;
G. Groeseneken
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
benchmark;
capacitance;
delay;
doping;
graphene;
interconnect;
modeling;
resistivity;
simulations;
49.
Interconnect design for conventional and emerging charge-based devices
机译:
常规和新兴基于电荷的设备的互连设计
作者:
Azad Naeemi
;
Chenyun Pan
;
Divya Prasad
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
50.
Investigation on reliability improvement for next generation Cu/ULK interconnects
机译:
研究下一代Cu / ULK互连的可靠性
作者:
Xun Gu
;
Jiquan Liu
;
Hao Deng
;
Zheyuan Tong
;
Jennifer Jing
;
Beichao Zhang
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
electro-migration;
reliability;
time-dependent dielectric breakdown;
ultralow-k;
51.
Silicon compatible optical interconnects
机译:
硅兼容光互连
作者:
Krishna Saraswat
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
52.
A new model for TDDB reliability of porous low-K dielectrics: Percolation defect nucleation and growth
机译:
多孔低K电介质TDDB可靠性的新模型:渗流缺陷成核和生长
作者:
Shou-Chung Lee
;
A. S. Oates
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
53.
Contacts for monolithic 3D architecture: Study of Ni0.9Co0.1 silicide formation
机译:
整体式3D架构的触点:Ni0.9Co0.1硅化物形成的研究
作者:
Ph. Rodriguez
;
S. Favier
;
F. Nemouchi
;
C. Sésé
;
F. Deprat
;
C. Fenouillet-Beranger
;
P. Gergaud
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
NiCo;
silicide;
solid-state reaction;
54.
Process options to enable (sub-)1e-9 Ohm.cm2 contact resistivity on Si devices
机译:
用于在Si器件上启用(sub-)1e-9 Ohm.cm2接触电阻率的工艺选项
作者:
H. Yu
;
M. Schaekers
;
S. Demuynck
;
E. Rosseel
;
J. Everaert
;
S. A. Chew
;
A. Peter
;
S. Kubicek
;
K. Barla
;
A. Mocuta
;
N. Horiguchi
;
N. Collaert
;
A. V. -Y. Thean
;
K. De Meyer
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CMOS;
contact resistivity;
in situ doping;
laser annealing;
silicide;
transmission line model;
55.
On the electrical performance of rigid silicon interposer
机译:
刚性硅中介层的电性能
作者:
Farhang Yazdani
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
56.
Design-for-stress for CMOS technologies - the next frontier
机译:
CMOS技术的应力设计-下一个领域
作者:
Riko Radojcic
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
57.
Tungsten and cobalt metallization: A material study for MOL local interconnects
机译:
钨和钴金属化:MOL局部互连的材料研究
作者:
V. Kamineni
;
M. Raymond
;
S. Siddiqui
;
F. Mont
;
S. Tsai
;
C. Niu
;
A. Labonte
;
C. Labelle
;
S. Fan
;
B. Peethala
;
P. Adusumilli
;
R. Patlolla
;
D. Priyadarshini
;
Y. Mignot
;
A. Carr
;
S. Pancharatnam
;
J. Shearer
;
C. Surisetty
;
J. Arnold
;
D. Canaperi
;
B. Haran
;
H. Jagannathan
;
F. Chafik
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Cobalt;
MOL;
Tungsten;
local interconnects;
58.
Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction
机译:
化学镀Co过孔预填充技术的开发,可实现高级BEOL金属化并降低过孔电阻
作者:
Yu Jiang
;
Praveen Nalla
;
Yana Matsushita
;
Greg Harm
;
Jingyan Wang
;
Artur Kolics
;
Larry Zhao
;
Tom Mountsier
;
Paul Besser
;
Hui-Jung Wu
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
BEOL;
Cobalt;
Electroless;
via prefill;
59.
Photodetector of ZnO nanowires based on through-silicon via approach
机译:
基于硅通孔的ZnO纳米线光电探测器
作者:
Yi-Hao Chen
;
I-Tzu Huang
;
Shoou-Jinn Chang
;
Ting-Jen Hsueh
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
3D photodetectors;
Through-silicon via (TSV);
UV detector;
ZnO nanowires;
60.
Towards the realization of optical interconnets on Si interposer
机译:
致力于在Si插入器上实现光互连网
作者:
S. Killge
;
S. Charania
;
K. Richter
;
J. W. Bartha
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Optical interconnects;
TSV-Waveguide;
Waveguide by imprint lithography;
61.
First integration of Ni0.9Co0.1 on pMOS transistors
机译:
Ni0.9Co0.1在pMOS晶体管上的首次集成
作者:
F. Deprat
;
F. Nemouchi
;
C. Fenouillet-Beranger
;
M. Cassé
;
Ph. Rodriguez
;
B. Previtali
;
N. Rambal
;
V. Delaye
;
M. Haond
;
M. Mellier
;
M. Gregoire
;
M. Danielou
;
S. Favier
;
P. Batude
;
M. Vinet
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
3D sequential integration;
NiCo;
NiPt FDSOI;
salicide;
62.
Impact of across-wafer variation on the electrical performance of TSVs
机译:
晶圆差异对TSV电气性能的影响
作者:
L. Filipovic
;
S. Selberherr
;
A. P. Singulani
;
F. Roger
;
S. Carniello
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
DRIE variation;
TCAD;
TSV;
process simulation;
63.
Geometry impact on the reduction of Cu interconnect wire resistance
机译:
几何形状对降低Cu互连线电阻的影响
作者:
Wei Wang
;
Terry Spooner
;
Chih-Chao Yang
;
XunYuan Zhang
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
data mining;
geometry impact;
line resistance;
64.
The Cu exposure effect in AIO etch at advanced CMOS technologies
机译:
先进CMOS技术在AIO蚀刻中的铜暴露效应
作者:
Junqing Zhou
;
Qiyang He
;
Minda Hu
;
Kefang Yuan
;
Yibin Cao
;
Linlin Sun
;
Xinghua Song
;
Haiyang Zhang
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
AIO etch;
Cu exposure;
Depth loading;
ESL opening;
Partial via etch;
Polymer residue;
65.
Post porosity plasma protection integration at 48 nm pitch
机译:
孔隙后等离子体保护集成度为48 nm
作者:
Huai Huang
;
Krystelle Lionti
;
Willi Volksen
;
Terry Spooner
;
Hosadurga Shobha
;
Joe Lee
;
James Hsueh-Chung Chen
;
Teddie Magbitang
;
Brown Peethala
;
Eric G Liniger
;
Chao Kun Hu
;
Elbert Huang
;
Donald F Canaperi
;
Theodorus E Standaert
;
Daniel C. Edelstein
;
Alfred Grill
;
Geraud
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
66.
Amorphous Co-Ti alloy as a single layer barrier for Co local interconnect structure
机译:
非晶态Co-Ti合金作为Co局部互连结构的单层阻挡层
作者:
Maryamsadat Hosseini
;
Junichi Koike
;
Yuji Sutou
;
Larry Zhao
;
Steven Lai
;
Reza Arghavani
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
cobalt;
contact plug;
diffusion barrier layer;
metal-oxide-semiconductor (MOS);
67.
A study on the plating and wetting ability of ruthenium-tungsten multi-layers for advanced Cu metallization
机译:
钌-钨多层膜对先进的铜金属镀覆和润湿能力的研究
作者:
Tai-Chen Kuo
;
Yin-Hsien Su
;
Wen-Hsi Lee
;
Wei-Hsiang Liao
;
Yu-Sheng Wang
;
Chi-Cheng Hung
;
Ying-Lang Wang
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Ru;
RuW alloy;
plating ability;
wetting ability;
68.
The oxygen barrier properties of CoxMoy diffusion barrier for Cu interconnect
机译:
铜互连用CoxMoy扩散阻挡层的氧阻挡性能
作者:
Li-Ao Cao
;
Xin-Ping Qu
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CoMo;
interconnect;
oxygen barrier;
single layer diffusion barrier;
69.
Low-via-resistance and low-cost PVD-TiZrN barrier for Cu/low-K interconnects
机译:
用于Cu / Low-K互连的低通孔电阻和低成本PVD-TiZrN势垒
作者:
Yu-Chen Chan
;
Chao-Hsien Peng
;
Ming-Han Lee
;
Shin-Yi Yang
;
Ching-Fu Yeh
;
Shau-Lin Shue
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
TiZrN;
barrier;
interconnect;
via-resistance;
70.
A novel bottom up fill mechanism for the metallization of advanced node copper interconnects
机译:
用于高级节点铜互连的金属化的新型自下而上填充机制
作者:
V. Mévellec
;
M. Thiam
;
D. Suhr
;
L. Religieux
;
P. Blondeau
;
J. B. Chaumont
;
F. Raynal
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
alkaline;
bottom up;
copper;
damascene;
interconnect;
mechanism;
metallization;
71.
Barrier layer dependence of self-annealing effect in directly electroplated copper films
机译:
直接电镀铜膜中自退火效应的势垒层依赖性
作者:
Xu Wang
;
Guang Yang
;
Li-Ao Cao
;
Xin-Ping Qu
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
CoMo barrier;
direct electroplating;
self-annealing;
single-layer barrier;
72.
CVD-Mn/CVD-Ru-based barrier/liner solution for advanced BEOL Cu/Low-k interconnects
机译:
基于CVD-Mn / CVD-Ru的阻挡层/衬里解决方案,用于高级BEOL Cu / Low-k互连
作者:
N. Jourdan
;
M. H. van der Veen
;
V. Vega Gonzalez
;
K. Croes
;
A. Lesniewska
;
O. Varela Pedreira
;
S. Van Elshocht
;
J. Bömmels
;
Zs. Tökei
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
MnSiO3;
Ru liner;
self-formed barrier (SFB);
73.
Experimental study of nanoscale Co damascene BEOL interconnect structures
机译:
纳米Co大马士革BEOL互连结构的实验研究
作者:
J. Kelly
;
J. H. -C. Chen
;
H. Huang
;
C. K. Hu
;
E. Liniger
;
R. Patlolla
;
B. Peethala
;
P. Adusumilli
;
H. Shobha
;
T. Nogami
;
T. Spooner
;
E. Huang
;
D. Edelstein
;
D. Canaperi
;
V. Kamineni
;
F. Mont
;
S. Siddiqui
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
74.
Overview of spin-based majority gates and interconnect implications
机译:
基于自旋的多数门及其互连含义概述
作者:
I. P. Radu
;
O. Zografos
;
A. Vaysset
;
F. Ciubotaru
;
M. Manfrini
;
P. Raghavan
;
S. Sayan
;
C. Adelmann
;
Zs. Tökei
;
A. Thean
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
75.
Performance modeling and optimization for on-chip interconnects in STT-MRAM memory arrays
机译:
STT-MRAM存储器阵列中片上互连的性能建模和优化
作者:
Javaneh Mohseni
;
Chenyun Pan
;
Azad Naeemi
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Interconnect;
MRAM;
Memory;
STT-MRAM;
delay;
modeling;
performance;
power;
76.
BEOL process integration for the 7 nm technology node
机译:
用于7 nm技术节点的BEOL工艺集成
作者:
T. Standaert
;
G. Beique
;
H. -C. Chen
;
S. -T. Chen
;
B. Hamieh
;
J. Lee
;
P. McLaughlin
;
J. McMahon
;
Y. Mignot
;
F. Mont
;
K. Motoyama
;
S. Nguyen
;
R. Patlolla
;
B. Peethala
;
D. Priyadarshini
;
M. Rizzolo
;
N. Saulnier
;
H. Shobha
;
S. Siddiqui
;
T. Spooner
;
H. Tang
;
O. van der Straten
;
E.
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
77.
Semi consolidation in an age of Moore anxiety
机译:
在摩尔焦虑症时代半巩固
作者:
Joe Sawicki
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
78.
450mm Cu single damascene BEOL process with 20nm half-pitched features
机译:
具有20nm半间距特征的450mm铜单镶嵌BEOL工艺
作者:
Sunoo Kim
;
Shannon Dunn
;
Steven Smith
;
WenLi Collision
;
Jamie Prudhomme
;
Huey-Ming Wang
;
Joe Maniscalco
;
Nithin Yathapu
;
Chulgi Song
;
Barry Wang
;
Christopher Carr
;
Hsi-Wen Liu
;
Bruce Gall
;
Angelo Alaestante
;
Min-Hui Chen
;
Richard Conti
;
ChungJu Yang
;
Denis Sullivan
;
Kosta
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
450mm;
Cu BEOL;
Cu damascene;
Lamella BCP;
guided DSA;
low-K;
metal hard mask;
79.
10nm local interconnect challenge with iso-dense loading and improvement with ALD spacer process
机译:
具有等密度负载的10nm本地互连挑战以及ALD间隔工艺的改进
作者:
Ming He
;
Christopher Ordonio
;
Chun Hui Low
;
Peter Welti
;
Granger Lobb
;
Aleksandra Clancy
;
Jeff Shu
;
Ayman Hamouda
;
Jason Stephens
;
Ketan Shah
;
Ashwini Chandrasekhar
;
Mary Claire Silvestre
;
Prakash Periasamy
;
Anbu Selvam KM Mahalingam
;
Shyam Pal
;
Craig Child
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
10nm;
CD shrink;
M1;
atomic layer deposition;
iso-dense;
loading;
local interconnect;
80.
Interconnect performance and scaling strategy at the 5 nm Node
机译:
5 nm节点处的互连性能和缩放策略
作者:
James Hsueh-Chung Chen
;
Nicholas LiCausi
;
E. Todd Ryan
;
Theodorus E Standaert
;
Griselda Bonilla
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
81.
Physical vapor deposited AlN as scalable and reliable interconnect etch-stop ¿¿¿ 10nm node
机译:
物理气相沉积AlN作为可扩展且可靠的互连蚀刻停止点-10nm节点
作者:
He Ren
;
Yana Cheng
;
Yong Cao
;
Srinivas Guggilla
;
Sree Kesapragada
;
Weifeng Ye
;
Mehul Naik
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
AlN;
Dielectric Barrier;
Etch-stop Layer;
Physical Vapor Deposition;
82.
Ordered porosity for interconnect applications
机译:
互连应用的有序孔隙率
作者:
Jessica M. Torres
;
Jeff Bielefeld
;
James Blackwell
;
David J. Michalak
;
James S. Clarke
会议名称:
《2016 IEEE International Interconnect Technology Conference / Advanced Metallization Conference》
|
2016年
关键词:
Low-k dielectrics;
Organosilica;
ordered porosity;
意见反馈
回到顶部
回到首页